it was reason for workaround rules already, and it's somewhat ugly:
authorStefan Reinauer <stepan@coresystems.de>
Tue, 16 Mar 2010 23:07:29 +0000 (23:07 +0000)
committerStefan Reinauer <stepan@openbios.org>
Tue, 16 Mar 2010 23:07:29 +0000 (23:07 +0000)
util/x86emu is the only part of coreboot that is linked into coreboot
itself that lives in util/.
It's not a utility and it does not really belong where it lives.

---> svn mv util/x86emu src/devices/oprom

plus necessary Makefile changes to get it building again

Signed-off-by: Stefan Reinauer <stepan@coresystems.de>
Acked-by: Ronald G. Minnich <rminnich@gmail.com>
Acked-by: Peter Stuge <peter@stuge.se>
git-svn-id: svn://svn.coreboot.org/coreboot/trunk@5228 2b7e53f0-3cfb-0310-b3e9-8179ed1497e1

94 files changed:
Makefile
src/devices/Makefile.inc
src/devices/oprom/Makefile.inc [new file with mode: 0644]
src/devices/oprom/include/x86emu/fpu_regs.h [new file with mode: 0644]
src/devices/oprom/include/x86emu/regs.h [new file with mode: 0644]
src/devices/oprom/include/x86emu/types.h [new file with mode: 0644]
src/devices/oprom/include/x86emu/x86emu.h [new file with mode: 0644]
src/devices/oprom/x86.c [new file with mode: 0644]
src/devices/oprom/x86_asm.S [new file with mode: 0644]
src/devices/oprom/x86_interrupts.c [new file with mode: 0644]
src/devices/oprom/x86emu/LICENSE [new file with mode: 0644]
src/devices/oprom/x86emu/Makefile.inc [new file with mode: 0644]
src/devices/oprom/x86emu/debug.c [new file with mode: 0644]
src/devices/oprom/x86emu/debug.h [new file with mode: 0644]
src/devices/oprom/x86emu/decode.c [new file with mode: 0644]
src/devices/oprom/x86emu/decode.h [new file with mode: 0644]
src/devices/oprom/x86emu/fpu.c [new file with mode: 0644]
src/devices/oprom/x86emu/fpu.h [new file with mode: 0644]
src/devices/oprom/x86emu/ops.c [new file with mode: 0644]
src/devices/oprom/x86emu/ops.h [new file with mode: 0644]
src/devices/oprom/x86emu/ops2.c [new file with mode: 0644]
src/devices/oprom/x86emu/prim_asm.h [new file with mode: 0644]
src/devices/oprom/x86emu/prim_ops.c [new file with mode: 0644]
src/devices/oprom/x86emu/prim_ops.h [new file with mode: 0644]
src/devices/oprom/x86emu/sys.c [new file with mode: 0644]
src/devices/oprom/x86emu/x86emui.h [new file with mode: 0644]
src/devices/oprom/yabel/Makefile.inc [new file with mode: 0644]
src/devices/oprom/yabel/biosemu.c [new file with mode: 0644]
src/devices/oprom/yabel/biosemu.h [new file with mode: 0644]
src/devices/oprom/yabel/compat/Makefile.inc [new file with mode: 0644]
src/devices/oprom/yabel/compat/functions.c [new file with mode: 0644]
src/devices/oprom/yabel/compat/of.h [new file with mode: 0644]
src/devices/oprom/yabel/compat/rtas.h [new file with mode: 0644]
src/devices/oprom/yabel/compat/time.h [new file with mode: 0644]
src/devices/oprom/yabel/debug.c [new file with mode: 0644]
src/devices/oprom/yabel/debug.h [new file with mode: 0644]
src/devices/oprom/yabel/device.c [new file with mode: 0644]
src/devices/oprom/yabel/device.h [new file with mode: 0644]
src/devices/oprom/yabel/interrupt.c [new file with mode: 0644]
src/devices/oprom/yabel/interrupt.h [new file with mode: 0644]
src/devices/oprom/yabel/io.c [new file with mode: 0644]
src/devices/oprom/yabel/io.h [new file with mode: 0644]
src/devices/oprom/yabel/mem.c [new file with mode: 0644]
src/devices/oprom/yabel/mem.h [new file with mode: 0644]
src/devices/oprom/yabel/pmm.c [new file with mode: 0644]
src/devices/oprom/yabel/pmm.h [new file with mode: 0644]
src/devices/oprom/yabel/vbe.c [new file with mode: 0644]
src/devices/oprom/yabel/vbe.h [new file with mode: 0644]
util/x86emu/Makefile.inc [deleted file]
util/x86emu/include/x86emu/fpu_regs.h [deleted file]
util/x86emu/include/x86emu/regs.h [deleted file]
util/x86emu/include/x86emu/types.h [deleted file]
util/x86emu/include/x86emu/x86emu.h [deleted file]
util/x86emu/x86.c [deleted file]
util/x86emu/x86_asm.S [deleted file]
util/x86emu/x86_interrupts.c [deleted file]
util/x86emu/x86emu/LICENSE [deleted file]
util/x86emu/x86emu/Makefile.inc [deleted file]
util/x86emu/x86emu/debug.c [deleted file]
util/x86emu/x86emu/debug.h [deleted file]
util/x86emu/x86emu/decode.c [deleted file]
util/x86emu/x86emu/decode.h [deleted file]
util/x86emu/x86emu/fpu.c [deleted file]
util/x86emu/x86emu/fpu.h [deleted file]
util/x86emu/x86emu/ops.c [deleted file]
util/x86emu/x86emu/ops.h [deleted file]
util/x86emu/x86emu/ops2.c [deleted file]
util/x86emu/x86emu/prim_asm.h [deleted file]
util/x86emu/x86emu/prim_ops.c [deleted file]
util/x86emu/x86emu/prim_ops.h [deleted file]
util/x86emu/x86emu/sys.c [deleted file]
util/x86emu/x86emu/x86emui.h [deleted file]
util/x86emu/yabel/Makefile.inc [deleted file]
util/x86emu/yabel/biosemu.c [deleted file]
util/x86emu/yabel/biosemu.h [deleted file]
util/x86emu/yabel/compat/Makefile.inc [deleted file]
util/x86emu/yabel/compat/functions.c [deleted file]
util/x86emu/yabel/compat/of.h [deleted file]
util/x86emu/yabel/compat/rtas.h [deleted file]
util/x86emu/yabel/compat/time.h [deleted file]
util/x86emu/yabel/debug.c [deleted file]
util/x86emu/yabel/debug.h [deleted file]
util/x86emu/yabel/device.c [deleted file]
util/x86emu/yabel/device.h [deleted file]
util/x86emu/yabel/interrupt.c [deleted file]
util/x86emu/yabel/interrupt.h [deleted file]
util/x86emu/yabel/io.c [deleted file]
util/x86emu/yabel/io.h [deleted file]
util/x86emu/yabel/mem.c [deleted file]
util/x86emu/yabel/mem.h [deleted file]
util/x86emu/yabel/pmm.c [deleted file]
util/x86emu/yabel/pmm.h [deleted file]
util/x86emu/yabel/vbe.c [deleted file]
util/x86emu/yabel/vbe.h [deleted file]

index 3aa48f861ef32a7b833805c4332b6e352e561155..696bede9497f43d5d824830bb4b72c9854aba33a 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -96,7 +96,7 @@ export MAINBOARDDIR
 PLATFORM-y += src/arch/$(ARCHDIR-y) src/cpu src/mainboard/$(MAINBOARDDIR)
 TARGETS-y :=
 
-BUILD-y := src/lib src/boot src/console src/devices src/southbridge src/northbridge src/superio src/drivers util/x86emu
+BUILD-y := src/lib src/boot src/console src/devices src/southbridge src/northbridge src/superio src/drivers
 BUILD-y += util/cbfstool
 BUILD-$(CONFIG_ARCH_X86) += src/pc80
 
@@ -162,11 +162,9 @@ includemakefiles= \
        $(if $(strip $(3)), \
                $(foreach type,$(2), \
                        $(eval $(type)s+= \
-                               $$(abspath $$(patsubst util/%, \
-                                       $(obj)/util/%, \
-                                       $$(patsubst src/%, \
+                               $$(abspath $$(patsubst src/%, \
                                                $(obj)/%, \
-                                               $$(addprefix $(dir $(1)),$$($(type)-y)))))))) \
+                                               $$(addprefix $(dir $(1)),$$($(type)-y))))))) \
        $(eval subdirs+=$$(subst $(PWD)/,,$$(abspath $$(addprefix $(dir $(1)),$$(subdirs-y)))))
 
 # For each path in $(subdirs) call includemakefiles, passing $(1) as $(3)
@@ -214,10 +212,6 @@ define create_cc_template
 # $3 .o infix ("" ".initobj", ...)
 # $4 additional compiler flags
 de$(EMPTY)fine $(1)_$(2)_template
-$(obj)/$$(1)%$(3).o: $$(1)%.$(2) $(obj)/config.h
-       printf "    CC         $$$$(subst $$$$(obj)/,,$$$$(@))\n"
-       $(CC) $(4) $$$$(CFLAGS) -c -o $$$$@ $$$$<
-
 $(obj)/$$(1)%$(3).o: src/$$(1)%.$(2) $(obj)/config.h
        printf "    CC         $$$$(subst $$$$(obj)/,,$$$$(@))\n"
        $(CC) $(4) $$$$(CFLAGS) -c -o $$$$@ $$$$<
@@ -263,7 +257,7 @@ printcrt0s:
 
 OBJS     := $(patsubst %,$(obj)/%,$(TARGETS-y))
 INCLUDES := -I$(top)/src -I$(top)/src/include -I$(obj) -I$(top)/src/arch/$(ARCHDIR-y)/include 
-INCLUDES += -I$(top)/util/x86emu/include
+INCLUDES += -I$(top)/src/devices/oprom/include
 INCLUDES += -include $(obj)/config.h
 
 CFLAGS = $(INCLUDES) -Os -nostdinc -pipe
index c932691fef327b7b60b539448c9c7fae7b847035..4516401cc375a5a7130743ab9a17972c32fd0429 100644 (file)
@@ -13,9 +13,9 @@ obj-y += smbus_ops.o
 
 ifeq ($(CONFIG_PCI_ROM_RUN),y)
 obj-y += pci_rom.o
-subdirs-y += ../../util/x86emu
+subdirs-y += oprom
 else
 obj-$(CONFIG_VGA_ROM_RUN) += pci_rom.o
-subdirs-$(CONFIG_VGA_ROM_RUN) += ../../util/x86emu
+subdirs-$(CONFIG_VGA_ROM_RUN) += oprom
 endif
 
diff --git a/src/devices/oprom/Makefile.inc b/src/devices/oprom/Makefile.inc
new file mode 100644 (file)
index 0000000..3d5f1a6
--- /dev/null
@@ -0,0 +1,25 @@
+##
+## This file is part of the coreboot project.
+##
+## Copyright (C) 2007-2010 coresystems GmbH
+##
+## This program is free software; you can redistribute it and/or modify
+## it under the terms of the GNU General Public License as published by
+## the Free Software Foundation; version 2 of the License.
+##
+## This program is distributed in the hope that it will be useful,
+## but WITHOUT ANY WARRANTY; without even the implied warranty of
+## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+## GNU General Public License for more details.
+##
+## You should have received a copy of the GNU General Public License
+## along with this program; if not, write to the Free Software
+## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA  02110-1301 USA
+##
+
+obj-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86.o
+obj-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86_asm.o
+obj-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86_interrupts.o
+
+subdirs-$(CONFIG_PCI_OPTION_ROM_RUN_YABEL) += x86emu
+subdirs-$(CONFIG_PCI_OPTION_ROM_RUN_YABEL) += yabel
diff --git a/src/devices/oprom/include/x86emu/fpu_regs.h b/src/devices/oprom/include/x86emu/fpu_regs.h
new file mode 100644 (file)
index 0000000..7c7df85
--- /dev/null
@@ -0,0 +1,115 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  Header file for FPU register definitions.
+*
+****************************************************************************/
+
+#ifndef __X86EMU_FPU_REGS_H
+#define __X86EMU_FPU_REGS_H
+
+#ifdef X86_FPU_SUPPORT
+
+#pragma        pack(1)
+
+/* Basic 8087 register can hold any of the following values: */
+
+union x86_fpu_reg_u {
+    s8                  tenbytes[10];
+    double              dval;
+    float               fval;
+    s16                 sval;
+    s32                 lval;
+       };
+
+struct x86_fpu_reg {
+       union x86_fpu_reg_u reg;
+       char                tag;
+       };
+
+/*
+ * Since we are not going to worry about the problems of aliasing
+ * registers, every time a register is modified, its result type is
+ * set in the tag fields for that register.  If some operation
+ * attempts to access the type in a way inconsistent with its current
+ * storage format, then we flag the operation.  If common, we'll
+ * attempt the conversion.
+ */
+
+#define  X86_FPU_VALID          0x80
+#define  X86_FPU_REGTYP(r)      ((r) & 0x7F)
+
+#define  X86_FPU_WORD           0x0
+#define  X86_FPU_SHORT          0x1
+#define  X86_FPU_LONG           0x2
+#define  X86_FPU_FLOAT          0x3
+#define  X86_FPU_DOUBLE         0x4
+#define  X86_FPU_LDBL           0x5
+#define  X86_FPU_BSD            0x6
+
+#define  X86_FPU_STKTOP  0
+
+struct x86_fpu_registers {
+    struct x86_fpu_reg  x86_fpu_stack[8];
+    int                 x86_fpu_flags;
+    int                 x86_fpu_config;         /* rounding modes, etc. */
+    short               x86_fpu_tos, x86_fpu_bos;
+       };
+
+#pragma        pack()
+
+/*
+ * There are two versions of the following macro.
+ *
+ * One version is for opcode D9, for which there are more than 32
+ * instructions encoded in the second byte of the opcode.
+ *
+ * The other version, deals with all the other 7 i87 opcodes, for
+ * which there are only 32 strings needed to describe the
+ * instructions.
+ */
+
+#endif /* X86_FPU_SUPPORT */
+
+#if CONFIG_X86EMU_DEBUG
+# define DECODE_PRINTINSTR32(t,mod,rh,rl)      \
+       DECODE_PRINTF(t[(mod<<3)+(rh)]);
+# define DECODE_PRINTINSTR256(t,mod,rh,rl)     \
+       DECODE_PRINTF(t[(mod<<6)+(rh<<3)+(rl)]);
+#else
+# define DECODE_PRINTINSTR32(t,mod,rh,rl)
+# define DECODE_PRINTINSTR256(t,mod,rh,rl)
+#endif
+
+#endif /* __X86EMU_FPU_REGS_H */
diff --git a/src/devices/oprom/include/x86emu/regs.h b/src/devices/oprom/include/x86emu/regs.h
new file mode 100644 (file)
index 0000000..516b2ea
--- /dev/null
@@ -0,0 +1,372 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  Header file for x86 register definitions.
+*
+****************************************************************************/
+/* $XFree86: xc/extras/x86emu/include/x86emu/regs.h,v 1.3 2001/10/28 03:32:25 tsi Exp $ */
+
+#ifndef __X86EMU_REGS_H
+#define __X86EMU_REGS_H
+
+/*---------------------- Macros and type definitions ----------------------*/
+
+#pragma pack(1)
+
+/*
+ * General EAX, EBX, ECX, EDX type registers.  Note that for
+ * portability, and speed, the issue of byte swapping is not addressed
+ * in the registers.  All registers are stored in the default format
+ * available on the host machine.  The only critical issue is that the
+ * registers should line up EXACTLY in the same manner as they do in
+ * the 386.  That is:
+ *
+ * EAX & 0xff  === AL
+ * EAX & 0xffff == AX
+ *
+ * etc.  The result is that alot of the calculations can then be
+ * done using the native instruction set fully.
+ */
+
+#ifdef __BIG_ENDIAN__
+
+typedef struct {
+    u32 e_reg;
+       } I32_reg_t;
+
+typedef struct {
+       u16 filler0, x_reg;
+       } I16_reg_t;
+
+typedef struct {
+       u8 filler0, filler1, h_reg, l_reg;
+       } I8_reg_t;
+
+#else /* !__BIG_ENDIAN__ */
+
+typedef struct {
+    u32 e_reg;
+       } I32_reg_t;
+
+typedef struct {
+       u16 x_reg;
+       } I16_reg_t;
+
+typedef struct {
+       u8 l_reg, h_reg;
+       } I8_reg_t;
+
+#endif /* BIG_ENDIAN */
+
+typedef union {
+       I32_reg_t   I32_reg;
+       I16_reg_t   I16_reg;
+       I8_reg_t    I8_reg;
+       } i386_general_register;
+
+struct i386_general_regs {
+       i386_general_register A, B, C, D;
+       };
+
+typedef struct i386_general_regs Gen_reg_t;
+
+struct i386_special_regs {
+       i386_general_register SP, BP, SI, DI, IP;
+       u32 FLAGS;
+       };
+
+/*  
+ * Segment registers here represent the 16 bit quantities
+ * CS, DS, ES, SS.
+ */
+
+struct i386_segment_regs {
+    u16 CS, DS, SS, ES, FS, GS;
+       };
+
+/* 8 bit registers */
+#define R_AH  gen.A.I8_reg.h_reg
+#define R_AL  gen.A.I8_reg.l_reg
+#define R_BH  gen.B.I8_reg.h_reg
+#define R_BL  gen.B.I8_reg.l_reg
+#define R_CH  gen.C.I8_reg.h_reg
+#define R_CL  gen.C.I8_reg.l_reg
+#define R_DH  gen.D.I8_reg.h_reg
+#define R_DL  gen.D.I8_reg.l_reg
+
+/* 16 bit registers */
+#define R_AX  gen.A.I16_reg.x_reg
+#define R_BX  gen.B.I16_reg.x_reg
+#define R_CX  gen.C.I16_reg.x_reg
+#define R_DX  gen.D.I16_reg.x_reg
+
+/* 32 bit extended registers */
+#define R_EAX  gen.A.I32_reg.e_reg
+#define R_EBX  gen.B.I32_reg.e_reg
+#define R_ECX  gen.C.I32_reg.e_reg
+#define R_EDX  gen.D.I32_reg.e_reg
+
+/* special registers */
+#define R_SP  spc.SP.I16_reg.x_reg
+#define R_BP  spc.BP.I16_reg.x_reg
+#define R_SI  spc.SI.I16_reg.x_reg
+#define R_DI  spc.DI.I16_reg.x_reg
+#define R_IP  spc.IP.I16_reg.x_reg
+#define R_FLG spc.FLAGS
+
+/* special registers */
+#define R_SP  spc.SP.I16_reg.x_reg
+#define R_BP  spc.BP.I16_reg.x_reg
+#define R_SI  spc.SI.I16_reg.x_reg
+#define R_DI  spc.DI.I16_reg.x_reg
+#define R_IP  spc.IP.I16_reg.x_reg
+#define R_FLG spc.FLAGS
+
+/* special registers */
+#define R_ESP  spc.SP.I32_reg.e_reg
+#define R_EBP  spc.BP.I32_reg.e_reg
+#define R_ESI  spc.SI.I32_reg.e_reg
+#define R_EDI  spc.DI.I32_reg.e_reg
+#define R_EIP  spc.IP.I32_reg.e_reg
+#define R_EFLG spc.FLAGS
+
+/* segment registers */
+#define R_CS  seg.CS
+#define R_DS  seg.DS
+#define R_SS  seg.SS
+#define R_ES  seg.ES
+#define R_FS  seg.FS
+#define R_GS  seg.GS
+
+/* flag conditions   */
+#define FB_CF 0x0001            /* CARRY flag  */
+#define FB_PF 0x0004            /* PARITY flag */
+#define FB_AF 0x0010            /* AUX  flag   */
+#define FB_ZF 0x0040            /* ZERO flag   */
+#define FB_SF 0x0080            /* SIGN flag   */
+#define FB_TF 0x0100            /* TRAP flag   */
+#define FB_IF 0x0200            /* INTERRUPT ENABLE flag */
+#define FB_DF 0x0400            /* DIR flag    */
+#define FB_OF 0x0800            /* OVERFLOW flag */
+
+/* 80286 and above always have bit#1 set */
+#define F_ALWAYS_ON  (0x0002)   /* flag bits always on */
+
+/*
+ * Define a mask for only those flag bits we will ever pass back 
+ * (via PUSHF) 
+ */
+#define F_MSK (FB_CF|FB_PF|FB_AF|FB_ZF|FB_SF|FB_TF|FB_IF|FB_DF|FB_OF)
+
+/* following bits masked in to a 16bit quantity */
+
+#define F_CF 0x0001             /* CARRY flag  */
+#define F_PF 0x0004             /* PARITY flag */
+#define F_AF 0x0010             /* AUX  flag   */
+#define F_ZF 0x0040             /* ZERO flag   */
+#define F_SF 0x0080             /* SIGN flag   */
+#define F_TF 0x0100             /* TRAP flag   */
+#define F_IF 0x0200             /* INTERRUPT ENABLE flag */
+#define F_DF 0x0400             /* DIR flag    */
+#define F_OF 0x0800             /* OVERFLOW flag */
+
+#define TOGGLE_FLAG(flag)      (M.x86.R_FLG ^= (flag))
+#define SET_FLAG(flag)         (M.x86.R_FLG |= (flag))
+#define CLEAR_FLAG(flag)       (M.x86.R_FLG &= ~(flag))
+#define ACCESS_FLAG(flag)      (M.x86.R_FLG & (flag))
+#define CLEARALL_FLAG(m)       (M.x86.R_FLG = 0)
+
+#define CONDITIONAL_SET_FLAG(COND,FLAG) \
+  if (COND) SET_FLAG(FLAG); else CLEAR_FLAG(FLAG)
+
+#define F_PF_CALC 0x010000      /* PARITY flag has been calced    */
+#define F_ZF_CALC 0x020000      /* ZERO flag has been calced      */
+#define F_SF_CALC 0x040000      /* SIGN flag has been calced      */
+
+#define F_ALL_CALC      0xff0000        /* All have been calced   */
+
+/*
+ * Emulator machine state.
+ * Segment usage control.
+ */
+#define SYSMODE_SEG_DS_SS       0x00000001
+#define SYSMODE_SEGOVR_CS       0x00000002
+#define SYSMODE_SEGOVR_DS       0x00000004
+#define SYSMODE_SEGOVR_ES       0x00000008
+#define SYSMODE_SEGOVR_FS       0x00000010
+#define SYSMODE_SEGOVR_GS       0x00000020
+#define SYSMODE_SEGOVR_SS       0x00000040
+#define SYSMODE_PREFIX_REPE     0x00000080
+#define SYSMODE_PREFIX_REPNE    0x00000100
+#define SYSMODE_PREFIX_DATA     0x00000200
+#define SYSMODE_PREFIX_ADDR     0x00000400
+//phueper: for REP(E|NE) Instructions, we need to decide wether it should be using
+//the 32bit ECX register as or the 16bit CX register as count register
+#define SYSMODE_32BIT_REP       0x00000800
+#define SYSMODE_INTR_PENDING    0x10000000
+#define SYSMODE_EXTRN_INTR      0x20000000
+#define SYSMODE_HALTED          0x40000000
+
+#define SYSMODE_SEGMASK (SYSMODE_SEG_DS_SS      | \
+                                                SYSMODE_SEGOVR_CS      | \
+                                                SYSMODE_SEGOVR_DS      | \
+                                                SYSMODE_SEGOVR_ES      | \
+                                                SYSMODE_SEGOVR_FS      | \
+                                                SYSMODE_SEGOVR_GS      | \
+                                                SYSMODE_SEGOVR_SS)
+#define SYSMODE_CLRMASK (SYSMODE_SEG_DS_SS      | \
+                                                SYSMODE_SEGOVR_CS      | \
+                                                SYSMODE_SEGOVR_DS      | \
+                                                SYSMODE_SEGOVR_ES      | \
+                                                SYSMODE_SEGOVR_FS      | \
+                                                SYSMODE_SEGOVR_GS      | \
+                                                SYSMODE_SEGOVR_SS      | \
+                                                SYSMODE_PREFIX_DATA    | \
+                                                SYSMODE_PREFIX_ADDR    | \
+                                                SYSMODE_32BIT_REP)
+
+#define  INTR_SYNCH           0x1
+#define  INTR_ASYNCH          0x2
+#define  INTR_HALTED          0x4
+
+typedef struct {
+    struct i386_general_regs    gen;
+    struct i386_special_regs    spc;
+    struct i386_segment_regs    seg;
+    /*
+     * MODE contains information on:
+     *  REPE prefix             2 bits  repe,repne
+     *  SEGMENT overrides       5 bits  normal,DS,SS,CS,ES
+     *  Delayed flag set        3 bits  (zero, signed, parity)
+     *  reserved                6 bits
+     *  interrupt #             8 bits  instruction raised interrupt
+     *  BIOS video segregs      4 bits  
+     *  Interrupt Pending       1 bits  
+     *  Extern interrupt        1 bits
+     *  Halted                  1 bits
+     */
+    u32                         mode;
+    volatile int                intr;   /* mask of pending interrupts */
+    volatile int                         debug;
+#if CONFIG_X86EMU_DEBUG
+    int                         check;
+    u16                         saved_ip;
+    u16                         saved_cs;
+    int                         enc_pos;
+    int                         enc_str_pos;
+    char                        decode_buf[32]; /* encoded byte stream  */
+    char                        decoded_buf[256]; /* disassembled strings */
+#endif
+    u8                          intno;
+    u8                          __pad[3];
+       } X86EMU_regs;
+
+/****************************************************************************
+REMARKS:
+Structure maintaining the emulator machine state.
+
+MEMBERS:
+mem_base               - Base real mode memory for the emulator
+abseg                  - Base for the absegment
+mem_size               - Size of the real mode memory block for the emulator
+private                        - private data pointer
+x86                    - X86 registers
+****************************************************************************/
+typedef struct {
+       unsigned long   mem_base;
+       unsigned long   mem_size;
+       unsigned long   abseg;
+       void*           private;
+       X86EMU_regs             x86;
+       } X86EMU_sysEnv;
+
+#pragma pack()
+
+/*----------------------------- Global Variables --------------------------*/
+
+#ifdef  __cplusplus
+extern "C" {                                   /* Use "C" linkage when in C++ mode */
+#endif
+
+/* Global emulator machine state.
+ *
+ * We keep it global to avoid pointer dereferences in the code for speed.
+ */
+
+extern    X86EMU_sysEnv        _X86EMU_env;
+#define   M             _X86EMU_env
+
+#define X86_EAX M.x86.R_EAX
+#define X86_EBX M.x86.R_EBX
+#define X86_ECX M.x86.R_ECX
+#define X86_EDX M.x86.R_EDX
+#define X86_ESI M.x86.R_ESI
+#define X86_EDI M.x86.R_EDI
+#define X86_EBP M.x86.R_EBP
+#define X86_EIP M.x86.R_EIP
+#define X86_ESP M.x86.R_ESP
+#define X86_EFLAGS M.x86.R_EFLG
+
+#define X86_FLAGS M.x86.R_FLG
+#define X86_AX M.x86.R_AX
+#define X86_BX M.x86.R_BX
+#define X86_CX M.x86.R_CX
+#define X86_DX M.x86.R_DX
+#define X86_SI M.x86.R_SI
+#define X86_DI M.x86.R_DI
+#define X86_BP M.x86.R_BP
+#define X86_IP M.x86.R_IP
+#define X86_SP M.x86.R_SP
+#define X86_CS M.x86.R_CS
+#define X86_DS M.x86.R_DS
+#define X86_ES M.x86.R_ES
+#define X86_SS M.x86.R_SS
+#define X86_FS M.x86.R_FS
+#define X86_GS M.x86.R_GS
+
+#define X86_AL M.x86.R_AL
+#define X86_BL M.x86.R_BL
+#define X86_CL M.x86.R_CL
+#define X86_DL M.x86.R_DL
+
+#define X86_AH M.x86.R_AH
+#define X86_BH M.x86.R_BH
+#define X86_CH M.x86.R_CH
+#define X86_DH M.x86.R_DH
+
+#ifdef  __cplusplus
+}                                              /* End of "C" linkage for C++           */
+#endif
+
+#endif /* __X86EMU_REGS_H */
diff --git a/src/devices/oprom/include/x86emu/types.h b/src/devices/oprom/include/x86emu/types.h
new file mode 100644 (file)
index 0000000..5485eea
--- /dev/null
@@ -0,0 +1,89 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  Header file for x86 emulator type definitions.
+*
+****************************************************************************/
+
+/* $XFree86: xc/extras/x86emu/include/x86emu/types.h,v 1.4 2000/09/26 15:56:44 tsi Exp $ */
+
+#ifndef __X86EMU_TYPES_H
+#define __X86EMU_TYPES_H
+
+//#ifndef IN_MODULE
+//#include <sys/types.h>
+//#endif
+
+/*
+ * The following kludge is an attempt to work around typedef conflicts with
+ * <sys/types.h>.
+ */
+#define u8   x86emuu8
+#define u16  x86emuu16
+#define u32  x86emuu32
+#define u64  x86emuu64
+#define s8   x86emus8
+#define s16  x86emus16
+#define s32  x86emus32
+#define s64  x86emus64
+#define uint x86emuuint
+#define sint x86emusint
+
+/*---------------------- Macros and type definitions ----------------------*/
+
+/* Currently only for Linux/32bit */
+#if defined(__GNUC__) && !defined(NO_LONG_LONG)
+#define __HAS_LONG_LONG__
+#endif
+
+typedef unsigned char          u8;
+typedef unsigned short                 u16;
+typedef unsigned int           u32;
+#ifdef __HAS_LONG_LONG__
+typedef unsigned long long     u64;
+#endif
+
+typedef signed char            s8;
+typedef signed short           s16;
+typedef signed int             s32;
+#ifdef __HAS_LONG_LONG__
+typedef signed long long       s64;
+#endif
+
+typedef unsigned int           uint;
+typedef signed int             sint;
+
+typedef u16 X86EMU_pioAddr;
+
+#endif /* __X86EMU_TYPES_H */
diff --git a/src/devices/oprom/include/x86emu/x86emu.h b/src/devices/oprom/include/x86emu/x86emu.h
new file mode 100644 (file)
index 0000000..493e494
--- /dev/null
@@ -0,0 +1,197 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  Header file for public specific functions.
+*               Any application linking against us should only
+*               include this header
+*
+****************************************************************************/
+/* $XFree86: xc/extras/x86emu/include/x86emu.h,v 1.2 2000/11/21 23:10:25 tsi Exp $ */
+
+#ifndef __X86EMU_X86EMU_H
+#define __X86EMU_X86EMU_H
+
+#include <stddef.h>
+#include <console/console.h>
+#if CONFIG_X86EMU_DEBUG
+#define DEBUG
+#endif
+
+#include "types.h"
+#define        X86API
+#define        X86APIP *
+#include "regs.h"
+
+/*---------------------- Macros and type definitions ----------------------*/
+
+#pragma        pack(1)
+
+/****************************************************************************
+REMARKS:
+Data structure containing ponters to programmed I/O functions used by the
+emulator. This is used so that the user program can hook all programmed
+I/O for the emulator to handled as necessary by the user program. By
+default the emulator contains simple functions that do not do access the
+hardware in any way. To allow the emualtor access the hardware, you will
+need to override the programmed I/O functions using the X86EMU_setupPioFuncs
+function.
+
+HEADER:
+x86emu.h
+
+MEMBERS:
+inb            - Function to read a byte from an I/O port
+inw            - Function to read a word from an I/O port
+inl     - Function to read a dword from an I/O port
+outb   - Function to write a byte to an I/O port
+outw    - Function to write a word to an I/O port
+outl    - Function to write a dword to an I/O port
+****************************************************************************/
+typedef struct {
+       u8      (X86APIP inb)(X86EMU_pioAddr addr);
+       u16     (X86APIP inw)(X86EMU_pioAddr addr);
+       u32     (X86APIP inl)(X86EMU_pioAddr addr);
+       void    (X86APIP outb)(X86EMU_pioAddr addr, u8 val);
+       void    (X86APIP outw)(X86EMU_pioAddr addr, u16 val);
+       void    (X86APIP outl)(X86EMU_pioAddr addr, u32 val);
+       } X86EMU_pioFuncs;
+
+/****************************************************************************
+REMARKS:
+Data structure containing ponters to memory access functions used by the
+emulator. This is used so that the user program can hook all memory
+access functions as necessary for the emulator. By default the emulator
+contains simple functions that only access the internal memory of the
+emulator. If you need specialised functions to handle access to different
+types of memory (ie: hardware framebuffer accesses and BIOS memory access
+etc), you will need to override this using the X86EMU_setupMemFuncs
+function.
+
+HEADER:
+x86emu.h
+
+MEMBERS:
+rdb            - Function to read a byte from an address
+rdw            - Function to read a word from an address
+rdl     - Function to read a dword from an address
+wrb            - Function to write a byte to an address
+wrw            - Function to write a word to an address
+wrl            - Function to write a dword to an address
+****************************************************************************/
+typedef struct {
+       u8      (X86APIP rdb)(u32 addr);
+       u16     (X86APIP rdw)(u32 addr);
+       u32     (X86APIP rdl)(u32 addr);
+       void    (X86APIP wrb)(u32 addr, u8 val);
+       void    (X86APIP wrw)(u32 addr, u16 val);
+       void    (X86APIP wrl)(u32 addr, u32 val);
+       } X86EMU_memFuncs;
+
+/****************************************************************************
+  Here are the default memory read and write
+  function in case they are needed as fallbacks.
+***************************************************************************/
+extern u8 X86API rdb(u32 addr);
+extern u16 X86API rdw(u32 addr);
+extern u32 X86API rdl(u32 addr);
+extern void X86API wrb(u32 addr, u8 val);
+extern void X86API wrw(u32 addr, u16 val);
+extern void X86API wrl(u32 addr, u32 val);
+#pragma        pack()
+
+/*--------------------- type definitions -----------------------------------*/
+
+typedef void (X86APIP X86EMU_intrFuncs)(int num);
+extern X86EMU_intrFuncs _X86EMU_intrTab[256];
+
+/*-------------------------- Function Prototypes --------------------------*/
+
+#ifdef  __cplusplus
+extern "C" {                                   /* Use "C" linkage when in C++ mode */
+#endif
+
+void   X86EMU_setupMemFuncs(X86EMU_memFuncs *funcs);
+void   X86EMU_setupPioFuncs(X86EMU_pioFuncs *funcs);
+void   X86EMU_setupIntrFuncs(X86EMU_intrFuncs funcs[]);
+void   X86EMU_prepareForInt(int num);
+
+void X86EMU_setMemBase(void *base, size_t size);
+
+/* decode.c */
+
+void   X86EMU_exec(void);
+void   X86EMU_halt_sys(void);
+
+#if CONFIG_X86EMU_DEBUG
+#define        HALT_SYS()      \
+       printf("halt_sys: in %s\n", __func__);  \
+       X86EMU_halt_sys();
+#else
+#define        HALT_SYS()      X86EMU_halt_sys()
+#endif
+
+/* Debug options */
+
+#define DEBUG_DECODE_F          0x000001 /* print decoded instruction  */
+#define DEBUG_TRACE_F           0x000002 /* dump regs before/after execution */
+#define DEBUG_STEP_F            0x000004
+#define DEBUG_DISASSEMBLE_F     0x000008
+#define DEBUG_BREAK_F           0x000010
+#define DEBUG_SVC_F             0x000020
+#define DEBUG_FS_F              0x000080
+#define DEBUG_PROC_F            0x000100
+#define DEBUG_SYSINT_F          0x000200 /* bios system interrupts. */
+#define DEBUG_TRACECALL_F       0x000400
+#define DEBUG_INSTRUMENT_F      0x000800
+#define DEBUG_MEM_TRACE_F       0x001000 
+#define DEBUG_IO_TRACE_F        0x002000 
+#define DEBUG_TRACECALL_REGS_F  0x004000
+#define DEBUG_DECODE_NOPRINT_F  0x008000 
+#define DEBUG_SAVE_IP_CS_F      0x010000
+#define DEBUG_TRACEJMP_F        0x020000
+#define DEBUG_TRACEJMP_REGS_F   0x040000
+#define DEBUG_SYS_F             (DEBUG_SVC_F|DEBUG_FS_F|DEBUG_PROC_F)
+
+void   X86EMU_trace_regs(void);
+void   X86EMU_trace_xregs(void);
+void   X86EMU_dump_memory(u16 seg, u16 off, u32 amt);
+int    X86EMU_trace_on(void);
+int    X86EMU_trace_off(void);
+
+#ifdef  __cplusplus
+}                                              /* End of "C" linkage for C++           */
+#endif
+
+#endif /* __X86EMU_X86EMU_H */
diff --git a/src/devices/oprom/x86.c b/src/devices/oprom/x86.c
new file mode 100644 (file)
index 0000000..2ce5b45
--- /dev/null
@@ -0,0 +1,244 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
+ */
+
+#include <device/pci.h>
+#include <string.h>
+
+#include <arch/io.h>
+#include <arch/registers.h>
+#include <console/console.h>
+#include <arch/interrupt.h>
+
+#define REALMODE_BASE ((void *)0x600)
+
+struct realmode_idt {
+       u16 offset, cs;
+};
+
+void x86_exception(struct eregs *info);
+
+extern unsigned char __idt_handler, __idt_handler_size;
+extern unsigned char __realmode_code, __realmode_code_size;
+extern unsigned char __run_optionrom, __run_interrupt;
+
+void (*run_optionrom)(u32 devfn) __attribute__((regparm(0))) = (void *)&__run_optionrom;
+void (*vga_enable_console)(void) __attribute__((regparm(0))) = (void *)&__run_interrupt;
+
+int (*intXX_handler[256])(struct eregs *regs) = { NULL };
+
+static int intXX_exception_handler(struct eregs *regs)
+{
+       printk(BIOS_INFO, "Oops, exception %d while executing option rom\n", 
+                       regs->vector);
+       x86_exception(regs);    // Call coreboot exception handler 
+
+       return 0;               // Never returns?
+}
+
+static int intXX_unknown_handler(struct eregs *regs)
+{
+       printk(BIOS_INFO, "Unsupported software interrupt #0x%x\n", 
+                       regs->vector);
+
+       return -1;
+}
+
+/* setup interrupt handlers for mainboard */
+void mainboard_interrupt_handlers(int intXX, void *intXX_func)
+{
+       intXX_handler[intXX] = intXX_func;
+}
+
+int int12_handler(struct eregs *regs);
+int int15_handler(struct eregs *regs);
+int int1a_handler(struct eregs *regs);
+
+static void setup_interrupt_handlers(void)
+{
+       int i;
+
+       /* The first 16 intXX functions are not BIOS services, 
+        * but the CPU-generated exceptions ("hardware interrupts")
+        */
+       for (i = 0; i < 0x10; i++)
+               intXX_handler[i] = &intXX_exception_handler;
+       
+       /* Mark all other intXX calls as unknown first */
+       for (i = 0x10; i < 0x100; i++)
+       {
+               /* If the mainboard_interrupt_handler isn't called first.
+                */
+               if(!intXX_handler[i])
+               {
+                       /* Now set the default functions that are actually
+                        * needed to initialize the option roms. This is very
+                        * slick, as it allows us to implement mainboard specific
+                        * interrupt handlers, such as the int15
+                        */
+                       switch (i) {
+                       case 0x12:
+                               intXX_handler[0x12] = &int12_handler;
+                               break;
+                       case 0x15:
+                               intXX_handler[0x15] = &int15_handler;
+                               break;
+                       case 0x1a:
+                               intXX_handler[0x1a] = &int1a_handler;
+                               break;
+                       default:
+                               intXX_handler[i] = &intXX_unknown_handler;
+                               break;
+                       }
+               }
+       }
+}
+
+static void write_idt_stub(void *target, u8 intnum)
+{
+       unsigned char *codeptr;
+       codeptr = (unsigned char *) target;
+       memcpy(codeptr, &__idt_handler, (size_t)&__idt_handler_size);
+       codeptr[3] = intnum; /* modify int# in the code stub. */
+}
+
+static void setup_realmode_idt(void)
+{
+       struct realmode_idt *idts = (struct realmode_idt *) 0;
+       int i;
+
+       /* Copy IDT stub code for each interrupt. This might seem wasteful
+        * but it is really simple
+        */
+        for (i = 0; i < 256; i++) {
+               idts[i].cs = 0;
+               idts[i].offset = 0x1000 + (i * (u32)&__idt_handler_size);
+               write_idt_stub((void *)((u32 )idts[i].offset), i);
+       }
+
+       /* Many option ROMs use the hard coded interrupt entry points in the
+        * system bios. So install them at the known locations. 
+        * Only need int10 so far.
+        */
+       
+       /* int42 is the relocated int10 */
+       write_idt_stub((void *)0xff065, 0x42); 
+}
+
+void run_bios(struct device *dev, unsigned long addr)
+{
+       /* clear vga bios data area */
+       memset((void *)0x400, 0, 0x200);
+
+       /* Set up C interrupt handlers */
+       setup_interrupt_handlers();
+
+       /* Setting up realmode IDT */
+       setup_realmode_idt();
+
+       memcpy(REALMODE_BASE, &__realmode_code, (size_t)&__realmode_code_size);
+       printk(BIOS_SPEW, "Real mode stub @%p: %d bytes\n", REALMODE_BASE,
+                       (u32)&__realmode_code_size);
+
+       printk(BIOS_DEBUG, "Calling Option ROM...\n");
+       run_optionrom((dev->bus->secondary << 8) | dev->path.pci.devfn);
+       printk(BIOS_DEBUG, "... Option ROM returned.\n");
+}
+
+int __attribute__((regparm(0))) interrupt_handler(u32 intnumber,
+           u32 gsfs, u32 dses,
+           u32 edi, u32 esi,
+           u32 ebp, u32 esp,
+           u32 ebx, u32 edx,
+           u32 ecx, u32 eax,
+           u32 cs_ip, u16 stackflags);
+
+int __attribute__((regparm(0))) interrupt_handler(u32 intnumber,
+           u32 gsfs, u32 dses,
+           u32 edi, u32 esi,
+           u32 ebp, u32 esp,
+           u32 ebx, u32 edx,
+           u32 ecx, u32 eax,
+           u32 cs_ip, u16 stackflags)
+{
+       u32 ip;
+       u32 cs;
+       u32 flags;
+       int ret = -1;
+       struct eregs reg_info;
+
+       ip = cs_ip & 0xffff;
+       cs = cs_ip >> 16;
+       flags = stackflags;
+
+       printk(BIOS_DEBUG, "oprom: INT# 0x%x\n", intnumber);
+       printk(BIOS_DEBUG, "oprom: eax: %08x ebx: %08x ecx: %08x edx: %08x\n",
+                     eax, ebx, ecx, edx);
+       printk(BIOS_DEBUG, "oprom: ebp: %08x esp: %08x edi: %08x esi: %08x\n",
+                    ebp, esp, edi, esi);
+       printk(BIOS_DEBUG, "oprom:  ip: %04x      cs: %04x   flags: %08x\n",
+                    ip, cs, flags);
+
+       // Fetch arguments from the stack and put them into
+       // a structure that we want to pass on to our sub interrupt
+       // handlers.
+       reg_info = (struct eregs) {
+               .eax=eax,
+               .ecx=ecx,
+               .edx=edx,
+               .ebx=ebx,
+               .esp=esp,
+               .ebp=ebp,
+               .esi=esi,
+               .edi=edi,
+               .vector=intnumber,
+               .error_code=0, // ??
+               .eip=ip,
+               .cs=cs,
+               .eflags=flags // ??
+       };
+
+       // Call the interrupt handler for this int#
+       ret = intXX_handler[intnumber](&reg_info);
+
+       // Put registers back on the stack. The assembler code
+       // will later pop them.
+       // What happens here is that we force (volatile!) changing
+       // the values of the parameters of this function. We do this
+       // because we know that they stay alive on the stack after 
+       // we leave this function. Don't say this is bollocks.
+       *(volatile u32 *)&eax = reg_info.eax;
+       *(volatile u32 *)&ecx = reg_info.ecx;
+       *(volatile u32 *)&edx = reg_info.edx;
+       *(volatile u32 *)&ebx = reg_info.ebx;
+       *(volatile u32 *)&esi = reg_info.esi;
+       *(volatile u32 *)&edi = reg_info.edi;
+       flags = reg_info.eflags;
+
+       /* Pass errors back to our caller via the CARRY flag */
+       if (ret) {
+               printk(BIOS_DEBUG,"error!\n");
+               flags |= 1;  // error: set carry
+       }else{
+               flags &= ~1; // no error: clear carry
+       }
+       *(volatile u16 *)&stackflags = flags;
+
+       return ret;
+}
+
diff --git a/src/devices/oprom/x86_asm.S b/src/devices/oprom/x86_asm.S
new file mode 100644 (file)
index 0000000..616aa86
--- /dev/null
@@ -0,0 +1,347 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
+ */
+
+#define REALMODE_BASE          0x600
+#define RELOCATED(x)   (x - __realmode_code + REALMODE_BASE)
+
+/* CR0 bits */
+#define PE             (1 << 0)
+
+/* This is the intXX interrupt handler stub code. It gets copied
+ * to the IDT and to some fixed addresses in the F segment. Before
+ * the code can used, it gets patched up by the C function copying 
+ * it: byte 3 (the $0 in movb $0, %al) is overwritten with the int#.
+ */
+
+       .code16
+       .globl __idt_handler
+__idt_handler:
+       pushal
+       movb    $0, %al /* This instruction gets modified */
+       ljmp    $0, $__interrupt_handler_16bit
+       .globl __idt_handler_size
+__idt_handler_size = ( . - __idt_handler)
+
+
+/* In order to be independent of coreboot's position in RAM
+ * we relocate a part of the code to the low megabyte, so the
+ * CPU can use it in real-mode. This code lives at __realmode_code.
+ */
+       .globl __realmode_code
+__realmode_code:
+
+/* Realmode IDT pointer structure. */
+       .globl __realmode_idt
+__realmode_idt = RELOCATED(.)
+       .word 1023      /* 16-bit limit */
+       .long 0         /* 24-bit base */
+       .word 0
+
+/* Preserve old stack */
+__stack = RELOCATED(.)
+       .long 0
+
+       .code32
+       .globl __run_optionrom
+__run_optionrom = RELOCATED(.)
+       /* save all registers to the stack */
+       pushal
+
+       /* Move the protected mode stack to a safe place */
+       mov     %esp, __stack
+
+       /* Get devfn into %ecx */
+       movl    %esp, %ebp
+       /* This function is called with regparm=0 and we have
+        * to skip the 32 byte from pushal:
+        */
+       movl    36(%ebp), %ecx
+
+       /* Activate the right segment descriptor real mode. */
+       ljmp    $0x28, $RELOCATED(1f)
+1:
+.code16
+       /* 16 bit code from here on... */
+
+       /* Load the segment registers w/ properly configured
+        * segment descriptors. They will retain these
+        * configurations (limits, writability, etc.) once
+        * protected mode is turned off.
+        */
+       mov     $0x30, %ax
+       mov     %ax, %ds       
+       mov     %ax, %es       
+       mov     %ax, %fs       
+       mov     %ax, %gs       
+       mov     %ax, %ss       
+
+       /* Turn off protection */
+       movl    %cr0, %eax
+       andl    $~PE, %eax
+       movl    %eax, %cr0
+
+       /* Now really going into real mode */
+       ljmp    $0, $RELOCATED(1f)
+1:
+       /* Setup a stack: Put the stack at the end of page zero.
+        * That way we can easily share it between real and
+        * protected, since the 16-bit ESP at segment 0 will
+        * work for any case. */
+       mov     $0x0, %ax
+       mov     %ax, %ss
+       movl    $0x1000, %eax
+       movl    %eax, %esp
+
+       /* Load our 16 bit idt */
+       xor     %ax, %ax
+       mov     %ax, %ds
+       lidt    __realmode_idt
+
+       /* Set all segments to 0x0000, ds to 0x0040 */
+       mov     %ax, %es       
+       mov     %ax, %fs       
+       mov     %ax, %gs       
+       mov     $0x40, %ax
+       mov     %ax, %ds
+       mov     %cx, %ax        // restore ax
+
+       /* ************************************ */
+       // TODO this will not work for non-VGA option ROMs
+       /* run VGA BIOS at 0xc000:0003 */
+       lcall   $0xc000, $0x0003
+       /* ************************************ */
+
+       /* If we got here, just about done.
+        * Need to get back to protected mode
+        */
+       movl    %cr0, %eax
+       orl     $PE, %eax
+       movl    %eax, %cr0
+
+       /* Now that we are in protected mode
+        * jump to a 32 bit code segment.
+        */
+       data32  ljmp    $0x10, $RELOCATED(1f)
+1:
+       .code32
+       movw    $0x18, %ax     
+       mov     %ax, %ds       
+       mov     %ax, %es
+       mov     %ax, %fs
+       mov     %ax, %gs
+       mov     %ax, %ss
+
+       /* restore proper idt */
+       lidt    idtarg
+
+       /* and exit */
+       mov     __stack, %esp
+       popal
+       ret
+
+       .globl __run_interrupt
+__run_interrupt = RELOCATED(.)
+
+       /* paranoia -- does ecx get saved? not sure. This is
+        * the easiest safe thing to do. */
+       pushal
+       /* save the stack */
+       mov     %esp, __stack
+
+
+       /*  This configures CS properly for real mode. */
+       ljmp    $0x28, $RELOCATED(1f)
+1:
+       .code16 /* 16 bit code from here on... */
+
+       // DEBUG
+       movb    $0xec, %al
+       outb    %al, $0x80
+
+       /* Load the segment registers w/ properly configured segment
+        * descriptors.  They will retain these configurations (limits,
+        * writability, etc.) once protected mode is turned off.
+        */
+       mov     $0x30, %ax     
+       mov     %ax, %ds       
+       mov     %ax, %es       
+       mov     %ax, %fs       
+       mov     %ax, %gs       
+       mov     %ax, %ss       
+
+       /* Turn off protected mode */
+       movl    %cr0, %eax     
+       andl    $~PE, %eax
+       movl    %eax, %cr0     
+
+       /* Now really going into real mode */
+       data32 ljmp     $0, $RELOCATED(1f)
+1:
+
+       /* put the stack at the end of page zero.
+        * that way we can easily share it between real and protected,
+        * since the 16-bit ESP at segment 0 will work for any case.
+        */
+       /* setup a stack */
+       mov     $0x0, %ax
+       mov     %ax, %ss
+       movl    $0x1000, %eax
+       movl    %eax, %esp
+
+       /* Load 16-bit intXX IDT */
+       xor     %ax, %ax       
+       mov     %ax, %ds
+       lidt    __realmode_idt
+
+       /* Set all segments to 0x0000 */
+       mov     %ax, %ds
+       mov     %ax, %es
+       mov     %ax, %fs
+       mov     %ax, %gs
+
+       /* Call VGA BIOS int10 function 0x4f14 to enable main console
+        * Epia-M does not always autosence the main console so forcing
+        * it on is good.
+        */
+
+       /* Ask VGA option rom to enable main console */
+       movw    $0x4f14,%ax
+       movw    $0x8003,%bx
+       movw    $1, %cx
+       movw    $0, %dx
+       movw    $0, %di
+       int     $0x10
+
+       /* Ok, the job is done, now go back to protected mode coreboot */
+       movl    %cr0, %eax
+       orl     $PE, %eax
+       movl    %eax, %cr0
+
+       /* Now that we are in protected mode jump to a 32-bit code segment. */
+       data32  ljmp    $0x10, $RELOCATED(1f)
+1:
+       .code32
+       movw    $0x18, %ax
+       mov     %ax, %ds
+       mov     %ax, %es
+       mov     %ax, %fs
+       mov     %ax, %gs
+       mov     %ax, %ss
+
+       /* restore coreboot's 32-bit IDT */
+       lidt    idtarg
+
+       /* Exit */
+       mov     __stack, %esp
+       popal
+       ret
+
+/* This is the 16-bit interrupt entry point called by the IDT stub code.
+ * Before this code code is called, %eax is pushed to the stack, and the
+ * interrupt number is loaded into %al
+ */
+       .code16
+__interrupt_handler_16bit = RELOCATED(.)
+       push    %ds
+       push    %es
+       push    %fs
+       push    %gs
+
+       /* Clean up the interrupt number. We could have done this in the stub,
+        * but it would have cost 2 more bytes per stub entry.
+        */
+       andl    $0xff, %eax
+       pushl   %eax            /* ... and make it the first parameter */
+
+       /* Switch to protected mode */
+       movl    %cr0, %eax
+       orl     $PE, %eax
+       movl    %eax, %cr0
+
+       /* ... and jump to a 32 bit code segment. */
+       data32 ljmp    $0x10, $RELOCATED(1f)
+1:
+       .code32
+       movw    $0x18, %ax
+       mov     %ax, %ds
+       mov     %ax, %es
+       mov     %ax, %fs
+       mov     %ax, %gs
+       mov     %ax, %ss
+
+       lidt    idtarg
+
+       /* Call the C interrupt handler */
+       movl    $interrupt_handler, %eax
+       call    *%eax
+
+       /* Now return to real mode ... */
+       ljmp    $0x28, $RELOCATED(1f)
+1:
+       .code16
+       /* Load the segment registers with properly configured segment
+        * descriptors.  They will retain these configurations (limits,
+        * writability, etc.) once protected mode is turned off.
+        */
+       mov     $0x30, %ax
+       mov     %ax, %ds
+       mov     %ax, %es
+       mov     %ax, %fs
+       mov     %ax, %gs
+       mov     %ax, %ss
+
+       /* Disable Protected Mode */
+       movl    %cr0, %eax
+       andl    $~PE, %eax
+       movl    %eax, %cr0
+
+       /* Now really going into real mode */
+       ljmp $0,  $RELOCATED(1f)
+1:
+       /* Restore real-mode stack segment */
+       mov     $0x0, %ax
+       mov     %ax, %ss
+
+       /* Restore 16-bit IDT */
+       xor     %ax, %ax
+       mov     %ax, %ds
+       lidt    __realmode_idt
+
+       /* Set up our segment registers to segment 0x0000 */
+       mov     %ax, %es
+       mov     %ax, %fs
+       mov     %ax, %gs
+       mov     $0x40, %ax
+       mov     %ax, %ds
+
+       /* Restore all registers, including those
+        * manipulated by the C handler
+        */
+       popl    %eax
+       pop     %gs
+       pop     %fs
+       pop     %es
+       pop     %ds
+       popal
+       iret
+
+       .globl __realmode_code_size
+__realmode_code_size = (. - __realmode_code)
+
+       .code32
diff --git a/src/devices/oprom/x86_interrupts.c b/src/devices/oprom/x86_interrupts.c
new file mode 100644 (file)
index 0000000..95964f8
--- /dev/null
@@ -0,0 +1,228 @@
+/*
+ * This file is part of the coreboot project.
+ *
+ * Copyright (C) 2001 Ronald G. Minnich
+ * Copyright (C) 2005 Nick.Barker9@btinternet.com
+ * Copyright (C) 2007-2009 coresystems GmbH
+ *
+ * This program is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; version 2 of the License.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program; if not, write to the Free Software
+ * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
+ */
+
+#include <device/pci.h>
+#include <device/pci_ids.h>
+#include <device/pci_ops.h>
+#include <string.h>
+#include <console/console.h>
+#include <arch/io.h>
+#include <arch/registers.h>
+
+enum {
+       PCIBIOS_CHECK = 0xb101,
+       PCIBIOS_FINDDEV = 0xb102,
+       PCIBIOS_READCONFBYTE = 0xb108,
+       PCIBIOS_READCONFWORD = 0xb109,
+       PCIBIOS_READCONFDWORD = 0xb10a,
+       PCIBIOS_WRITECONFBYTE = 0xb10b,
+       PCIBIOS_WRITECONFWORD = 0xb10c,
+       PCIBIOS_WRITECONFDWORD = 0xb10d
+};
+
+// errors go in AH. Just set these up so that word assigns
+// will work. KISS.
+enum {
+       PCIBIOS_SUCCESSFUL = 0x0000,
+       PCIBIOS_UNSUPPORTED = 0x8100,
+       PCIBIOS_BADVENDOR = 0x8300,
+       PCIBIOS_NODEV = 0x8600,
+       PCIBIOS_BADREG = 0x8700
+};
+
+int int12_handler(struct eregs *regs);
+int int1a_handler(struct eregs *regs);
+int int15_handler(struct eregs *regs);
+
+int int12_handler(struct eregs *regs)
+{
+       regs->eax = 64 * 1024;
+       return 0;
+}
+
+int int1a_handler(struct eregs *regs)
+{
+       unsigned short func = (unsigned short)regs->eax;
+       int retval = 0;
+       unsigned short devid, vendorid, devfn;
+       /* Use short to get rid of gabage in upper half of 32-bit register */
+       short devindex;
+       unsigned char bus;
+       struct device *dev;
+       u32 dword;
+       u16 word;
+       u8 byte, reg;
+
+       switch (func) {
+       case PCIBIOS_CHECK:
+               regs->edx = 0x20494350; /* ' ICP' */
+               regs->edi = 0x00000000; /* protected mode entry */
+               retval = 0;
+               break;
+       case PCIBIOS_FINDDEV:
+               devid = regs->ecx;
+               vendorid = regs->edx;
+               devindex = regs->esi;
+               dev = 0;
+               while ((dev = dev_find_device(vendorid, devid, dev))) {
+                       if (devindex <= 0)
+                               break;
+                       devindex--;
+               }
+               if (dev) {
+                       unsigned short busdevfn;
+                       regs->eax = 0;
+                       // busnum is an unsigned char;
+                       // devfn is an int, so we mask it off.
+                       busdevfn = (dev->bus->secondary << 8)
+                           | (dev->path.pci.devfn & 0xff);
+                       printk(BIOS_DEBUG, "0x%x: return 0x%x\n", func, busdevfn);
+                       regs->ebx = busdevfn;
+                       retval = 0;
+               } else {
+                       regs->eax = PCIBIOS_NODEV;
+                       retval = -1;
+               }
+               break;
+       case PCIBIOS_READCONFDWORD:
+       case PCIBIOS_READCONFWORD:
+       case PCIBIOS_READCONFBYTE:
+       case PCIBIOS_WRITECONFDWORD:
+       case PCIBIOS_WRITECONFWORD:
+       case PCIBIOS_WRITECONFBYTE:
+               devfn = regs->ebx & 0xff;
+               bus = regs->ebx >> 8;
+               reg = regs->edi;
+               dev = dev_find_slot(bus, devfn);
+               if (!dev) {
+                       printk(BIOS_DEBUG, "0x%x: BAD DEVICE bus %d devfn 0x%x\n", func, bus, devfn);
+                       // idiots. the pcibios guys assumed you'd never pass a bad bus/devfn!
+                       regs->eax = PCIBIOS_BADREG;
+                       retval = -1;
+                       return retval;
+               }
+               switch (func) {
+               case PCIBIOS_READCONFBYTE:
+                       byte = pci_read_config8(dev, reg);
+                       regs->ecx = byte;
+                       break;
+               case PCIBIOS_READCONFWORD:
+                       word = pci_read_config16(dev, reg);
+                       regs->ecx = word;
+                       break;
+               case PCIBIOS_READCONFDWORD:
+                       dword = pci_read_config32(dev, reg);
+                       regs->ecx = dword;
+                       break;
+               case PCIBIOS_WRITECONFBYTE:
+                       byte = regs->ecx;
+                       pci_write_config8(dev, reg, byte);
+                       break;
+               case PCIBIOS_WRITECONFWORD:
+                       word = regs->ecx;
+                       pci_write_config16(dev, reg, word);
+                       break;
+               case PCIBIOS_WRITECONFDWORD:
+                       dword = regs->ecx;
+                       pci_write_config32(dev, reg, dword);
+                       break;
+               }
+
+               printk(BIOS_DEBUG, "0x%x: bus %d devfn 0x%x reg 0x%x val 0x%x\n",
+                            func, bus, devfn, reg, regs->ecx);
+               regs->eax = 0;
+               retval = 0;
+               break;
+       default:
+               printk(BIOS_ERR, "UNSUPPORTED PCIBIOS FUNCTION 0x%x\n", func);
+               retval = -1;
+               break;
+       }
+
+       return retval;
+}
+
+int int15_handler(struct eregs *regs)
+{
+       int res = -1;
+
+       /* This int15 handler is VIA Tech. specific. Other chipsets need other
+        * handlers. The right way to do this is to move this handler code into
+        * the mainboard or northbridge code.
+        */
+       switch (regs->eax & 0xffff) {
+       case 0x5f19:
+               break;
+       case 0x5f18:
+               regs->eax = 0x5f;
+               // MCLK = 133, 32M frame buffer, 256 M main memory
+               regs->ebx = 0x545;
+               regs->ecx = 0x060;
+               res = 0;
+               break;
+       case 0x5f00:
+               regs->eax = 0x8600;
+               break;
+       case 0x5f01:
+               regs->eax = 0x5f;
+               regs->ecx = (regs->ecx & 0xffffff00 ) | 2; // panel type =  2 = 1024 * 768
+               res = 0;
+               break;
+       case 0x5f02:
+               regs->eax = 0x5f;
+               regs->ebx = (regs->ebx & 0xffff0000) | 2;
+               regs->ecx = (regs->ecx & 0xffff0000) | 0x401;  // PAL + crt only
+               regs->edx = (regs->edx & 0xffff0000) | 0;  // TV Layout - default
+               res = 0;
+               break;
+       case 0x5f0f:
+               regs->eax = 0x860f;
+               break;
+       /* And now Intel IGD code */
+#define BOOT_DISPLAY_DEFAULT    0
+#define BOOT_DISPLAY_CRT        (1 << 0)
+#define BOOT_DISPLAY_TV         (1 << 1)
+#define BOOT_DISPLAY_EFP        (1 << 2)
+#define BOOT_DISPLAY_LCD        (1 << 3)
+#define BOOT_DISPLAY_CRT2       (1 << 4)
+#define BOOT_DISPLAY_TV2        (1 << 5)
+#define BOOT_DISPLAY_EFP2       (1 << 6)
+#define BOOT_DISPLAY_LCD2       (1 << 7)
+
+       case 0x5f35:
+               regs->eax = 0x5f;
+               regs->ecx = BOOT_DISPLAY_DEFAULT;
+               res = 0;
+               break;
+       case 0x5f40:
+               regs->eax = 0x5f;
+               regs->ecx = 3; // This is mainboard specific
+               printk(BIOS_DEBUG, "DISPLAY=%x\n", regs->ecx);
+               res = 0;
+               break;
+       default:
+               printk(BIOS_DEBUG, "Unknown INT15 function %04x!\n", 
+                               regs->eax & 0xffff);
+       }
+
+       return res;
+}
+
diff --git a/src/devices/oprom/x86emu/LICENSE b/src/devices/oprom/x86emu/LICENSE
new file mode 100644 (file)
index 0000000..a3ede4a
--- /dev/null
@@ -0,0 +1,17 @@
+                         License information
+                         -------------------
+
+The x86emu library is under a BSD style license, comaptible
+with the XFree86 and X licenses used by XFree86. The
+original x86emu libraries were under the GNU General Public
+License. Due to license incompatibilities between the GPL
+and the XFree86 license, the original authors of the code
+decided to allow a license change. If you have submitted
+code to the original x86emu project, and you don't agree
+with the license change, please contact us and let you
+know. Your code will be removed to comply with your wishes.
+
+If you have any questions about this, please send email to
+x86emu@linuxlabs.com or KendallB@scitechsoft.com for
+clarification.
+
diff --git a/src/devices/oprom/x86emu/Makefile.inc b/src/devices/oprom/x86emu/Makefile.inc
new file mode 100644 (file)
index 0000000..2c5f7a7
--- /dev/null
@@ -0,0 +1,7 @@
+obj-y += debug.o
+obj-y += decode.o
+obj-y += fpu.o
+obj-y += ops.o
+obj-y += ops2.o
+obj-y += prim_ops.o
+obj-y += sys.o
diff --git a/src/devices/oprom/x86emu/debug.c b/src/devices/oprom/x86emu/debug.c
new file mode 100644 (file)
index 0000000..b3f4b6e
--- /dev/null
@@ -0,0 +1,434 @@
+/****************************************************************************
+*
+*                       Realmode X86 Emulator Library
+*
+*               Copyright (C) 1991-2004 SciTech Software, Inc.
+*                    Copyright (C) David Mosberger-Tang
+*                      Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:     ANSI C
+* Environment:  Any
+* Developer:    Kendall Bennett
+*
+* Description:  This file contains the code to handle debugging of the
+*               emulator.
+*
+****************************************************************************/
+
+#include "x86emui.h"
+
+/*----------------------------- Implementation ----------------------------*/
+
+#ifdef DEBUG
+
+static void     print_encoded_bytes (u16 s, u16 o);
+static void     print_decoded_instruction (void);
+int      parse_line (char *s, int *ps, int *n);
+
+/* should look something like debug's output. */
+void X86EMU_trace_regs (void)
+{
+    if (DEBUG_TRACE()) {
+       if (M.x86.mode & (SYSMODE_PREFIX_DATA | SYSMODE_PREFIX_ADDR)) {
+               x86emu_dump_xregs();
+       } else {
+               x86emu_dump_regs();
+       }
+    }
+    if (DEBUG_DECODE() && ! DEBUG_DECODE_NOPRINT()) {
+        printf("%04x:%04x ",M.x86.saved_cs, M.x86.saved_ip);
+        print_encoded_bytes( M.x86.saved_cs, M.x86.saved_ip);
+        print_decoded_instruction();
+    }
+}
+
+void X86EMU_trace_xregs (void)
+{
+    if (DEBUG_TRACE()) {
+        x86emu_dump_xregs();
+    }
+}
+
+void x86emu_just_disassemble (void)
+{
+    /*
+     * This routine called if the flag DEBUG_DISASSEMBLE is set kind
+     * of a hack!
+     */
+    printf("%04x:%04x ",M.x86.saved_cs, M.x86.saved_ip);
+    print_encoded_bytes( M.x86.saved_cs, M.x86.saved_ip);
+    print_decoded_instruction();
+}
+
+void disassemble_forward (u16 seg, u16 off, int n)
+{
+    X86EMU_sysEnv tregs;
+    int i;
+    u8 op1;
+    /*
+     * hack, hack, hack.  What we do is use the exact machinery set up
+     * for execution, except that now there is an additional state
+     * flag associated with the "execution", and we are using a copy
+     * of the register struct.  All the major opcodes, once fully
+     * decoded, have the following two steps: TRACE_REGS(r,m);
+     * SINGLE_STEP(r,m); which disappear if DEBUG is not defined to
+     * the preprocessor.  The TRACE_REGS macro expands to:
+     *
+     * if (debug&DEBUG_DISASSEMBLE)
+     *     {just_disassemble(); goto EndOfInstruction;}
+     *     if (debug&DEBUG_TRACE) trace_regs(r,m);
+     *
+     * ......  and at the last line of the routine.
+     *
+     * EndOfInstruction: end_instr();
+     *
+     * Up to the point where TRACE_REG is expanded, NO modifications
+     * are done to any register EXCEPT the IP register, for fetch and
+     * decoding purposes.
+     *
+     * This was done for an entirely different reason, but makes a
+     * nice way to get the system to help debug codes.
+     */
+    tregs = M;
+    tregs.x86.R_IP = off;
+    tregs.x86.R_CS = seg;
+
+    /* reset the decoding buffers */
+    tregs.x86.enc_str_pos = 0;
+    tregs.x86.enc_pos = 0;
+
+    /* turn on the "disassemble only, no execute" flag */
+    tregs.x86.debug |= DEBUG_DISASSEMBLE_F;
+
+    /* DUMP NEXT n instructions to screen in straight_line fashion */
+    /*
+     * This looks like the regular instruction fetch stream, except
+     * that when this occurs, each fetched opcode, upon seeing the
+     * DEBUG_DISASSEMBLE flag set, exits immediately after decoding
+     * the instruction.  XXX --- CHECK THAT MEM IS NOT AFFECTED!!!
+     * Note the use of a copy of the register structure...
+     */
+    for (i=0; i<n; i++) {
+        op1 = (*sys_rdb)(((u32)M.x86.R_CS<<4) + (M.x86.R_IP++));
+        (x86emu_optab[op1])(op1);
+    }
+    /* end major hack mode. */
+}
+
+void x86emu_check_ip_access (void)
+{
+    /* NULL as of now */
+}
+
+void x86emu_check_sp_access (void)
+{
+}
+
+void x86emu_check_mem_access (u32 dummy)
+{
+    /*  check bounds, etc */
+}
+
+void x86emu_check_data_access (uint dummy1, uint dummy2)
+{
+    /*  check bounds, etc */
+}
+
+void x86emu_inc_decoded_inst_len (int x)
+{
+    M.x86.enc_pos += x;
+}
+
+void x86emu_decode_printf (const char *x)
+{
+    sprintf(M.x86.decoded_buf+M.x86.enc_str_pos,"%s",x);
+    M.x86.enc_str_pos += strlen(x);
+}
+
+void x86emu_decode_printf2 (const char *x, int y)
+{
+    char temp[100];
+    sprintf(temp,x,y);
+    sprintf(M.x86.decoded_buf+M.x86.enc_str_pos,"%s",temp);
+    M.x86.enc_str_pos += strlen(temp);
+}
+
+void x86emu_end_instr (void)
+{
+    M.x86.enc_str_pos = 0;
+    M.x86.enc_pos = 0;
+}
+
+static void print_encoded_bytes (u16 s, u16 o)
+{
+    int i;
+    char buf1[64];
+    for (i=0; i< M.x86.enc_pos; i++) {
+        sprintf(buf1+2*i,"%02x", fetch_data_byte_abs(s,o+i));
+    }
+    printf("%-20s ",buf1);
+}
+
+static void print_decoded_instruction (void)
+{
+    printf("%s", M.x86.decoded_buf);
+}
+
+void x86emu_print_int_vect (u16 iv)
+{
+    u16 seg,off;
+
+    if (iv > 256) return;
+    seg   = fetch_data_word_abs(0,iv*4);
+    off   = fetch_data_word_abs(0,iv*4+2);
+    printf("%04x:%04x ", seg, off);
+}
+
+void X86EMU_dump_memory (u16 seg, u16 off, u32 amt)
+{
+    u32 start = off & 0xfffffff0;
+    u32 end  = (off+16) & 0xfffffff0;
+    u32 i;
+    u32 current;
+
+    current = start;
+    while (end <= off + amt) {
+        printf("%04x:%04x ", seg, start);
+        for (i=start; i< off; i++)
+          printf("   ");
+        for (       ; i< end; i++)
+          printf("%02x ", fetch_data_byte_abs(seg,i));
+        printf("\n");
+        start = end;
+        end = start + 16;
+    }
+}
+
+void x86emu_single_step (void)
+{
+#if 0
+    char s[1024];
+    int ps[10];
+    int ntok;
+    int cmd;
+    int done;
+        int segment;
+    int offset;
+    static int breakpoint;
+    static int noDecode = 1;
+
+    char *p;
+
+        if (DEBUG_BREAK()) {
+                if (M.x86.saved_ip != breakpoint) {
+                        return;
+                } else {
+              M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F;
+                        M.x86.debug |= DEBUG_TRACE_F;
+                        M.x86.debug &= ~DEBUG_BREAK_F;
+                        print_decoded_instruction ();
+                        X86EMU_trace_regs();
+                }
+        }
+    done=0;
+    offset = M.x86.saved_ip;
+    while (!done) {
+        printf("-");
+        p = fgets(s, 1023, stdin);
+        cmd = parse_line(s, ps, &ntok);
+        switch(cmd) {
+          case 'u':
+            disassemble_forward(M.x86.saved_cs,(u16)offset,10);
+            break;
+          case 'd':
+                            if (ntok == 2) {
+                                    segment = M.x86.saved_cs;
+                                    offset = ps[1];
+                                    X86EMU_dump_memory(segment,(u16)offset,16);
+                                    offset += 16;
+                            } else if (ntok == 3) {
+                                    segment = ps[1];
+                                    offset = ps[2];
+                                    X86EMU_dump_memory(segment,(u16)offset,16);
+                                    offset += 16;
+                            } else {
+                                    segment = M.x86.saved_cs;
+                                    X86EMU_dump_memory(segment,(u16)offset,16);
+                                    offset += 16;
+                            }
+            break;
+          case 'c':
+            M.x86.debug ^= DEBUG_TRACECALL_F;
+            break;
+          case 's':
+            M.x86.debug ^= DEBUG_SVC_F | DEBUG_SYS_F | DEBUG_SYSINT_F;
+            break;
+          case 'r':
+            X86EMU_trace_regs();
+            break;
+          case 'x':
+            X86EMU_trace_xregs();
+            break;
+          case 'g':
+            if (ntok == 2) {
+                breakpoint = ps[1];
+        if (noDecode) {
+                        M.x86.debug |= DEBUG_DECODE_NOPRINT_F;
+        } else {
+                        M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F;
+        }
+        M.x86.debug &= ~DEBUG_TRACE_F;
+        M.x86.debug |= DEBUG_BREAK_F;
+        done = 1;
+            }
+            break;
+          case 'q':
+          M.x86.debug |= DEBUG_EXIT;
+          return;
+      case 'P':
+          noDecode = (noDecode)?0:1;
+          printf("Toggled decoding to %s\n",(noDecode)?"FALSE":"TRUE");
+          break;
+          case 't':
+      case 0:
+            done = 1;
+            break;
+        }
+    }
+#endif
+}
+
+int X86EMU_trace_on(void)
+{
+    return M.x86.debug |= DEBUG_STEP_F | DEBUG_DECODE_F | DEBUG_TRACE_F;
+}
+
+int X86EMU_trace_off(void)
+{
+    return M.x86.debug &= ~(DEBUG_STEP_F | DEBUG_DECODE_F | DEBUG_TRACE_F);
+}
+
+int parse_line (char *s, int *ps, int *n)
+{
+#if 0
+    int cmd;
+
+    *n = 0;
+    while(*s == ' ' || *s == '\t') s++;
+    ps[*n] = *s;
+    switch (*s) {
+      case '\n':
+        *n += 1;
+        return 0;
+      default:
+        cmd = *s;
+        *n += 1;
+    }
+
+    while (1) {
+        while (*s != ' ' && *s != '\t' && *s != '\n')  s++;
+
+        if (*s == '\n')
+            return cmd;
+
+        while(*s == ' ' || *s == '\t') s++;
+
+        sscanf(s,"%x",&ps[*n]);
+        *n += 1;
+    }
+#else
+    return 0;
+#endif
+}
+
+#endif /* DEBUG */
+
+void x86emu_dump_regs (void)
+{
+    printf("\tAX=%04x  ", M.x86.R_AX );
+    printf("BX=%04x  ", M.x86.R_BX );
+    printf("CX=%04x  ", M.x86.R_CX );
+    printf("DX=%04x  ", M.x86.R_DX );
+    printf("SP=%04x  ", M.x86.R_SP );
+    printf("BP=%04x  ", M.x86.R_BP );
+    printf("SI=%04x  ", M.x86.R_SI );
+    printf("DI=%04x\n", M.x86.R_DI );
+    printf("\tDS=%04x  ", M.x86.R_DS );
+    printf("ES=%04x  ", M.x86.R_ES );
+    printf("SS=%04x  ", M.x86.R_SS );
+    printf("CS=%04x  ", M.x86.R_CS );
+    printf("IP=%04x   ", M.x86.R_IP );
+    if (ACCESS_FLAG(F_OF))    printf("OV ");     /* CHECKED... */
+    else                        printf("NV ");
+    if (ACCESS_FLAG(F_DF))    printf("DN ");
+    else                        printf("UP ");
+    if (ACCESS_FLAG(F_IF))    printf("EI ");
+    else                        printf("DI ");
+    if (ACCESS_FLAG(F_SF))    printf("NG ");
+    else                        printf("PL ");
+    if (ACCESS_FLAG(F_ZF))    printf("ZR ");
+    else                        printf("NZ ");
+    if (ACCESS_FLAG(F_AF))    printf("AC ");
+    else                        printf("NA ");
+    if (ACCESS_FLAG(F_PF))    printf("PE ");
+    else                        printf("PO ");
+    if (ACCESS_FLAG(F_CF))    printf("CY ");
+    else                        printf("NC ");
+    printf("\n");
+}
+
+void x86emu_dump_xregs (void)
+{
+    printf("\tEAX=%08x  ", M.x86.R_EAX );
+    printf("EBX=%08x  ", M.x86.R_EBX );
+    printf("ECX=%08x  ", M.x86.R_ECX );
+    printf("EDX=%08x  \n", M.x86.R_EDX );
+    printf("\tESP=%08x  ", M.x86.R_ESP );
+    printf("EBP=%08x  ", M.x86.R_EBP );
+    printf("ESI=%08x  ", M.x86.R_ESI );
+    printf("EDI=%08x\n", M.x86.R_EDI );
+    printf("\tDS=%04x  ", M.x86.R_DS );
+    printf("ES=%04x  ", M.x86.R_ES );
+    printf("SS=%04x  ", M.x86.R_SS );
+    printf("CS=%04x  ", M.x86.R_CS );
+    printf("EIP=%08x\n\t", M.x86.R_EIP );
+    if (ACCESS_FLAG(F_OF))    printf("OV ");     /* CHECKED... */
+    else                        printf("NV ");
+    if (ACCESS_FLAG(F_DF))    printf("DN ");
+    else                        printf("UP ");
+    if (ACCESS_FLAG(F_IF))    printf("EI ");
+    else                        printf("DI ");
+    if (ACCESS_FLAG(F_SF))    printf("NG ");
+    else                        printf("PL ");
+    if (ACCESS_FLAG(F_ZF))    printf("ZR ");
+    else                        printf("NZ ");
+    if (ACCESS_FLAG(F_AF))    printf("AC ");
+    else                        printf("NA ");
+    if (ACCESS_FLAG(F_PF))    printf("PE ");
+    else                        printf("PO ");
+    if (ACCESS_FLAG(F_CF))    printf("CY ");
+    else                        printf("NC ");
+    printf("\n");
+}
diff --git a/src/devices/oprom/x86emu/debug.h b/src/devices/oprom/x86emu/debug.h
new file mode 100644 (file)
index 0000000..7aac995
--- /dev/null
@@ -0,0 +1,226 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  Header file for debug definitions.
+*
+****************************************************************************/
+/* $XFree86: xc/extras/x86emu/src/x86emu/x86emu/debug.h,v 1.4 2000/11/21 23:10:27 tsi Exp $ */
+
+#ifndef __X86EMU_DEBUG_H
+#define __X86EMU_DEBUG_H
+
+/*---------------------- Macros and type definitions ----------------------*/
+
+/* printf is not available in coreboot... use printk */
+#define printf(x...) printk(BIOS_DEBUG, x)
+
+/* checks to be enabled for "runtime" */
+
+#define CHECK_IP_FETCH_F                0x1
+#define CHECK_SP_ACCESS_F               0x2
+#define CHECK_MEM_ACCESS_F              0x4 /*using regular linear pointer */
+#define CHECK_DATA_ACCESS_F             0x8 /*using segment:offset*/
+
+#ifdef DEBUG
+# define CHECK_IP_FETCH()                      (M.x86.check & CHECK_IP_FETCH_F)
+# define CHECK_SP_ACCESS()                     (M.x86.check & CHECK_SP_ACCESS_F)
+# define CHECK_MEM_ACCESS()                    (M.x86.check & CHECK_MEM_ACCESS_F)
+# define CHECK_DATA_ACCESS()                   (M.x86.check & CHECK_DATA_ACCESS_F)
+#else
+# define CHECK_IP_FETCH()
+# define CHECK_SP_ACCESS()
+# define CHECK_MEM_ACCESS()
+# define CHECK_DATA_ACCESS()
+#endif
+
+#ifdef DEBUG
+# define DEBUG_INSTRUMENT()            (M.x86.debug & DEBUG_INSTRUMENT_F)
+# define DEBUG_DECODE()                (M.x86.debug & DEBUG_DECODE_F)
+# define DEBUG_TRACE()                 (M.x86.debug & DEBUG_TRACE_F)
+# define DEBUG_STEP()                  (M.x86.debug & DEBUG_STEP_F)
+# define DEBUG_DISASSEMBLE()           (M.x86.debug & DEBUG_DISASSEMBLE_F)
+# define DEBUG_BREAK()                 (M.x86.debug & DEBUG_BREAK_F)
+# define DEBUG_SVC()                   (M.x86.debug & DEBUG_SVC_F)
+# define DEBUG_SAVE_IP_CS()     (M.x86.debug & DEBUG_SAVE_IP_CS_F)
+
+# define DEBUG_FS()                    (M.x86.debug & DEBUG_FS_F)
+# define DEBUG_PROC()                  (M.x86.debug & DEBUG_PROC_F)
+# define DEBUG_SYSINT()                (M.x86.debug & DEBUG_SYSINT_F)
+# define DEBUG_TRACECALL()             (M.x86.debug & DEBUG_TRACECALL_F)
+# define DEBUG_TRACECALLREGS()         (M.x86.debug & DEBUG_TRACECALL_REGS_F)
+# define DEBUG_TRACEJMP()       (M.x86.debug & DEBUG_TRACEJMP_F)
+# define DEBUG_TRACEJMPREGS()   (M.x86.debug & DEBUG_TRACEJMP_REGS_F)
+# define DEBUG_SYS()                   (M.x86.debug & DEBUG_SYS_F)
+# define DEBUG_MEM_TRACE()             (M.x86.debug & DEBUG_MEM_TRACE_F)
+# define DEBUG_IO_TRACE()              (M.x86.debug & DEBUG_IO_TRACE_F)
+# define DEBUG_DECODE_NOPRINT() (M.x86.debug & DEBUG_DECODE_NOPRINT_F)
+#else
+# define DEBUG_INSTRUMENT()            0
+# define DEBUG_DECODE()                0
+# define DEBUG_TRACE()                 0
+# define DEBUG_STEP()                  0
+# define DEBUG_DISASSEMBLE()           0
+# define DEBUG_BREAK()                 0
+# define DEBUG_SVC()                   0
+# define DEBUG_SAVE_IP_CS()     0
+# define DEBUG_FS()                    0
+# define DEBUG_PROC()                  0
+# define DEBUG_SYSINT()                0
+# define DEBUG_TRACECALL()             0
+# define DEBUG_TRACECALLREGS()         0
+# define DEBUG_TRACEJMP()       0
+# define DEBUG_TRACEJMPREGS()   0
+# define DEBUG_SYS()                   0
+# define DEBUG_MEM_TRACE()             0
+# define DEBUG_IO_TRACE()              0
+# define DEBUG_DECODE_NOPRINT() 0
+#endif
+
+#ifdef DEBUG
+
+# define DECODE_PRINTF(x)      if (DEBUG_DECODE()) \
+                                                                       x86emu_decode_printf(x)
+# define DECODE_PRINTF2(x,y)   if (DEBUG_DECODE()) \
+                                                                       x86emu_decode_printf2(x,y)
+
+/*
+ * The following allow us to look at the bytes of an instruction.  The
+ * first INCR_INSTRN_LEN, is called everytime bytes are consumed in
+ * the decoding process.  The SAVE_IP_CS is called initially when the
+ * major opcode of the instruction is accessed.
+ */
+#define INC_DECODED_INST_LEN(x)                        \
+       if (DEBUG_DECODE())                             \
+               x86emu_inc_decoded_inst_len(x)
+
+#define SAVE_IP_CS(x,y)                                                \
+       if (DEBUG_DECODE() | DEBUG_TRACECALL() | DEBUG_BREAK() \
+              | DEBUG_IO_TRACE() | DEBUG_SAVE_IP_CS()) { \
+               M.x86.saved_cs = x;                                             \
+               M.x86.saved_ip = y;                                             \
+       }
+#else
+# define INC_DECODED_INST_LEN(x)
+# define DECODE_PRINTF(x)
+# define DECODE_PRINTF2(x,y)
+# define SAVE_IP_CS(x,y)
+#endif
+
+#ifdef DEBUG
+#define TRACE_REGS()                                                   \
+       if (DEBUG_DISASSEMBLE()) {                                      \
+               x86emu_just_disassemble();                              \
+               goto EndOfTheInstructionProcedure;                      \
+       }                                                       \
+       if (DEBUG_TRACE() || DEBUG_DECODE()) X86EMU_trace_regs()
+#else
+# define TRACE_REGS()
+#endif
+
+#ifdef DEBUG
+# define SINGLE_STEP()         if (DEBUG_STEP()) x86emu_single_step()
+#else
+# define SINGLE_STEP()
+#endif
+
+#define TRACE_AND_STEP()       \
+       TRACE_REGS();                   \
+       SINGLE_STEP()
+
+#ifdef DEBUG
+# define START_OF_INSTR()
+# define END_OF_INSTR()                EndOfTheInstructionProcedure: x86emu_end_instr();
+# define END_OF_INSTR_NO_TRACE()       x86emu_end_instr();
+#else
+# define START_OF_INSTR()
+# define END_OF_INSTR()
+# define END_OF_INSTR_NO_TRACE()
+#endif
+
+#ifdef DEBUG
+# define  CALL_TRACE(u,v,w,x,s)                                 \
+       if (DEBUG_TRACECALLREGS())                                                                      \
+               x86emu_dump_regs();                                     \
+       if (DEBUG_TRACECALL())                                          \
+               printf("%04x:%04x: CALL %s%04x:%04x\n", u , v, s, w, x);
+# define RETURN_TRACE(u,v,w,x,s)                                    \
+       if (DEBUG_TRACECALLREGS())                                                                      \
+               x86emu_dump_regs();                                     \
+       if (DEBUG_TRACECALL())                                          \
+               printf("%04x:%04x: RET %s %04x:%04x\n",u,v,s,w,x);
+# define  JMP_TRACE(u,v,w,x,s)                                 \
+   if (DEBUG_TRACEJMPREGS()) \
+      x86emu_dump_regs(); \
+   if (DEBUG_TRACEJMP()) \
+      printf("%04x:%04x: JMP %s%04x:%04x\n", u , v, s, w, x);
+#else
+# define CALL_TRACE(u,v,w,x,s)
+# define RETURN_TRACE(u,v,w,x,s)
+# define  JMP_TRACE(u,v,w,x,s)
+#endif
+
+#ifdef DEBUG
+#define        DB(x)   x
+#else
+#define        DB(x)
+#endif
+
+/*-------------------------- Function Prototypes --------------------------*/
+
+#ifdef  __cplusplus
+extern "C" {                                   /* Use "C" linkage when in C++ mode */
+#endif
+
+void x86emu_inc_decoded_inst_len (int x);
+void x86emu_decode_printf (const char *x);
+void x86emu_decode_printf2 (const char *x, int y);
+void x86emu_just_disassemble (void);
+void x86emu_single_step (void);
+void x86emu_end_instr (void);
+void x86emu_dump_regs (void);
+void x86emu_dump_xregs (void);
+void x86emu_print_int_vect (u16 iv);
+void x86emu_instrument_instruction (void);
+void x86emu_check_ip_access (void);
+void x86emu_check_sp_access (void);
+void x86emu_check_mem_access (u32 p);
+void x86emu_check_data_access (uint s, uint o);
+
+void disassemble_forward (u16 seg, u16 off, int n);
+
+#ifdef  __cplusplus
+}                                              /* End of "C" linkage for C++           */
+#endif
+
+#endif /* __X86EMU_DEBUG_H */
diff --git a/src/devices/oprom/x86emu/decode.c b/src/devices/oprom/x86emu/decode.c
new file mode 100644 (file)
index 0000000..3d2ba23
--- /dev/null
@@ -0,0 +1,1149 @@
+/****************************************************************************
+*
+*                       Realmode X86 Emulator Library
+*
+*               Copyright (C) 1991-2004 SciTech Software, Inc.
+*                    Copyright (C) David Mosberger-Tang
+*                      Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:     ANSI C
+* Environment:  Any
+* Developer:    Kendall Bennett
+*
+* Description:  This file includes subroutines which are related to
+*               instruction decoding and accessess of immediate data via IP.  etc.
+*
+****************************************************************************/
+
+#include "x86emui.h"
+
+/*----------------------------- Implementation ----------------------------*/
+
+/****************************************************************************
+REMARKS:
+Handles any pending asychronous interrupts.
+****************************************************************************/
+static void x86emu_intr_handle(void)
+{
+    u8  intno;
+
+    if (M.x86.intr & INTR_SYNCH) {
+        intno = M.x86.intno;
+        if (_X86EMU_intrTab[intno]) {
+            (*_X86EMU_intrTab[intno])(intno);
+        } else {
+            push_word((u16)M.x86.R_FLG);
+            CLEAR_FLAG(F_IF);
+            CLEAR_FLAG(F_TF);
+            push_word(M.x86.R_CS);
+            M.x86.R_CS = mem_access_word(intno * 4 + 2);
+            push_word(M.x86.R_IP);
+            M.x86.R_IP = mem_access_word(intno * 4);
+            M.x86.intr = 0;
+        }
+    }
+}
+
+/****************************************************************************
+PARAMETERS:
+intrnum - Interrupt number to raise
+
+REMARKS:
+Raise the specified interrupt to be handled before the execution of the
+next instruction.
+****************************************************************************/
+void x86emu_intr_raise(
+    u8 intrnum)
+{
+    printf("%s, raising exeception %x\n", __func__, intrnum);
+    x86emu_dump_regs();
+    M.x86.intno = intrnum;
+    M.x86.intr |= INTR_SYNCH;
+}
+
+/****************************************************************************
+REMARKS:
+Main execution loop for the emulator. We return from here when the system
+halts, which is normally caused by a stack fault when we return from the
+original real mode call.
+****************************************************************************/
+void X86EMU_exec(void)
+{
+    u8 op1;
+
+    M.x86.intr = 0;
+    DB(x86emu_end_instr();)
+
+    for (;;) {
+DB(     if (CHECK_IP_FETCH())
+            x86emu_check_ip_access();)
+        /* If debugging, save the IP and CS values. */
+        SAVE_IP_CS(M.x86.R_CS, M.x86.R_IP);
+        INC_DECODED_INST_LEN(1);
+        if (M.x86.intr) {
+            if (M.x86.intr & INTR_HALTED) {
+DB(             if (M.x86.R_SP != 0) {
+                    printf("halted\n");
+                    X86EMU_trace_regs();
+                    }
+                else {
+                    if (M.x86.debug)
+                        printf("Service completed successfully\n");
+                    })
+                return;
+            }
+            if (((M.x86.intr & INTR_SYNCH) && (M.x86.intno == 0 || M.x86.intno == 2)) ||
+                !ACCESS_FLAG(F_IF)) {
+                x86emu_intr_handle();
+            }
+        }
+        op1 = (*sys_rdb)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP++));
+        (*x86emu_optab[op1])(op1);
+        //if (M.x86.debug & DEBUG_EXIT) {
+        //    M.x86.debug &= ~DEBUG_EXIT;
+        //    return;
+        //}
+    }
+}
+
+/****************************************************************************
+REMARKS:
+Halts the system by setting the halted system flag.
+****************************************************************************/
+void X86EMU_halt_sys(void)
+{
+    M.x86.intr |= INTR_HALTED;
+}
+
+/****************************************************************************
+PARAMETERS:
+mod     - Mod value from decoded byte
+regh    - Reg h value from decoded byte
+regl    - Reg l value from decoded byte
+
+REMARKS:
+Raise the specified interrupt to be handled before the execution of the
+next instruction.
+
+NOTE: Do not inline this function, as (*sys_rdb) is already inline!
+****************************************************************************/
+void fetch_decode_modrm(
+    int *mod,
+    int *regh,
+    int *regl)
+{
+    int fetched;
+
+DB( if (CHECK_IP_FETCH())
+        x86emu_check_ip_access();)
+    fetched = (*sys_rdb)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP++));
+    INC_DECODED_INST_LEN(1);
+    *mod  = (fetched >> 6) & 0x03;
+    *regh = (fetched >> 3) & 0x07;
+    *regl = (fetched >> 0) & 0x07;
+}
+
+/****************************************************************************
+RETURNS:
+Immediate byte value read from instruction queue
+
+REMARKS:
+This function returns the immediate byte from the instruction queue, and
+moves the instruction pointer to the next value.
+
+NOTE: Do not inline this function, as (*sys_rdb) is already inline!
+****************************************************************************/
+u8 fetch_byte_imm(void)
+{
+    u8 fetched;
+
+DB( if (CHECK_IP_FETCH())
+        x86emu_check_ip_access();)
+    fetched = (*sys_rdb)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP++));
+    INC_DECODED_INST_LEN(1);
+    return fetched;
+}
+
+/****************************************************************************
+RETURNS:
+Immediate word value read from instruction queue
+
+REMARKS:
+This function returns the immediate byte from the instruction queue, and
+moves the instruction pointer to the next value.
+
+NOTE: Do not inline this function, as (*sys_rdw) is already inline!
+****************************************************************************/
+u16 fetch_word_imm(void)
+{
+    u16 fetched;
+
+DB( if (CHECK_IP_FETCH())
+        x86emu_check_ip_access();)
+    fetched = (*sys_rdw)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP));
+    M.x86.R_IP += 2;
+    INC_DECODED_INST_LEN(2);
+    return fetched;
+}
+
+/****************************************************************************
+RETURNS:
+Immediate lone value read from instruction queue
+
+REMARKS:
+This function returns the immediate byte from the instruction queue, and
+moves the instruction pointer to the next value.
+
+NOTE: Do not inline this function, as (*sys_rdw) is already inline!
+****************************************************************************/
+u32 fetch_long_imm(void)
+{
+    u32 fetched;
+
+DB( if (CHECK_IP_FETCH())
+        x86emu_check_ip_access();)
+    fetched = (*sys_rdl)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP));
+    M.x86.R_IP += 4;
+    INC_DECODED_INST_LEN(4);
+    return fetched;
+}
+
+/****************************************************************************
+RETURNS:
+Value of the default data segment
+
+REMARKS:
+Inline function that returns the default data segment for the current
+instruction.
+
+On the x86 processor, the default segment is not always DS if there is
+no segment override. Address modes such as -3[BP] or 10[BP+SI] all refer to
+addresses relative to SS (ie: on the stack). So, at the minimum, all
+decodings of addressing modes would have to set/clear a bit describing
+whether the access is relative to DS or SS.  That is the function of the
+cpu-state-varible M.x86.mode. There are several potential states:
+
+    repe prefix seen  (handled elsewhere)
+    repne prefix seen  (ditto)
+
+    cs segment override
+    ds segment override
+    es segment override
+    fs segment override
+    gs segment override
+    ss segment override
+
+    ds/ss select (in absense of override)
+
+Each of the above 7 items are handled with a bit in the mode field.
+****************************************************************************/
+_INLINE u32 get_data_segment(void)
+{
+#define GET_SEGMENT(segment)
+    switch (M.x86.mode & SYSMODE_SEGMASK) {
+      case 0:                   /* default case: use ds register */
+      case SYSMODE_SEGOVR_DS:
+      case SYSMODE_SEGOVR_DS | SYSMODE_SEG_DS_SS:
+        return  M.x86.R_DS;
+      case SYSMODE_SEG_DS_SS:   /* non-overridden, use ss register */
+        return  M.x86.R_SS;
+      case SYSMODE_SEGOVR_CS:
+      case SYSMODE_SEGOVR_CS | SYSMODE_SEG_DS_SS:
+        return  M.x86.R_CS;
+      case SYSMODE_SEGOVR_ES:
+      case SYSMODE_SEGOVR_ES | SYSMODE_SEG_DS_SS:
+        return  M.x86.R_ES;
+      case SYSMODE_SEGOVR_FS:
+      case SYSMODE_SEGOVR_FS | SYSMODE_SEG_DS_SS:
+        return  M.x86.R_FS;
+      case SYSMODE_SEGOVR_GS:
+      case SYSMODE_SEGOVR_GS | SYSMODE_SEG_DS_SS:
+        return  M.x86.R_GS;
+      case SYSMODE_SEGOVR_SS:
+      case SYSMODE_SEGOVR_SS | SYSMODE_SEG_DS_SS:
+        return  M.x86.R_SS;
+      default:
+#ifdef  DEBUG
+        printf("error: should not happen:  multiple overrides.\n");
+#endif
+        HALT_SYS();
+        return 0;
+    }
+}
+
+/****************************************************************************
+PARAMETERS:
+offset  - Offset to load data from
+
+RETURNS:
+Byte value read from the absolute memory location.
+
+NOTE: Do not inline this function as (*sys_rdX) is already inline!
+****************************************************************************/
+u8 fetch_data_byte(
+    uint offset)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access((u16)get_data_segment(), offset);
+#endif
+    return (*sys_rdb)((get_data_segment() << 4) + offset);
+}
+
+/****************************************************************************
+PARAMETERS:
+offset  - Offset to load data from
+
+RETURNS:
+Word value read from the absolute memory location.
+
+NOTE: Do not inline this function as (*sys_rdX) is already inline!
+****************************************************************************/
+u16 fetch_data_word(
+    uint offset)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access((u16)get_data_segment(), offset);
+#endif
+    return (*sys_rdw)((get_data_segment() << 4) + offset);
+}
+
+/****************************************************************************
+PARAMETERS:
+offset  - Offset to load data from
+
+RETURNS:
+Long value read from the absolute memory location.
+
+NOTE: Do not inline this function as (*sys_rdX) is already inline!
+****************************************************************************/
+u32 fetch_data_long(
+    uint offset)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access((u16)get_data_segment(), offset);
+#endif
+    return (*sys_rdl)((get_data_segment() << 4) + offset);
+}
+
+/****************************************************************************
+PARAMETERS:
+segment - Segment to load data from
+offset  - Offset to load data from
+
+RETURNS:
+Byte value read from the absolute memory location.
+
+NOTE: Do not inline this function as (*sys_rdX) is already inline!
+****************************************************************************/
+u8 fetch_data_byte_abs(
+    uint segment,
+    uint offset)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access(segment, offset);
+#endif
+    return (*sys_rdb)(((u32)segment << 4) + offset);
+}
+
+/****************************************************************************
+PARAMETERS:
+segment - Segment to load data from
+offset  - Offset to load data from
+
+RETURNS:
+Word value read from the absolute memory location.
+
+NOTE: Do not inline this function as (*sys_rdX) is already inline!
+****************************************************************************/
+u16 fetch_data_word_abs(
+    uint segment,
+    uint offset)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access(segment, offset);
+#endif
+    return (*sys_rdw)(((u32)segment << 4) + offset);
+}
+
+/****************************************************************************
+PARAMETERS:
+segment - Segment to load data from
+offset  - Offset to load data from
+
+RETURNS:
+Long value read from the absolute memory location.
+
+NOTE: Do not inline this function as (*sys_rdX) is already inline!
+****************************************************************************/
+u32 fetch_data_long_abs(
+    uint segment,
+    uint offset)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access(segment, offset);
+#endif
+    return (*sys_rdl)(((u32)segment << 4) + offset);
+}
+
+/****************************************************************************
+PARAMETERS:
+offset  - Offset to store data at
+val     - Value to store
+
+REMARKS:
+Writes a word value to an segmented memory location. The segment used is
+the current 'default' segment, which may have been overridden.
+
+NOTE: Do not inline this function as (*sys_wrX) is already inline!
+****************************************************************************/
+void store_data_byte(
+    uint offset,
+    u8 val)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access((u16)get_data_segment(), offset);
+#endif
+    (*sys_wrb)((get_data_segment() << 4) + offset, val);
+}
+
+/****************************************************************************
+PARAMETERS:
+offset  - Offset to store data at
+val     - Value to store
+
+REMARKS:
+Writes a word value to an segmented memory location. The segment used is
+the current 'default' segment, which may have been overridden.
+
+NOTE: Do not inline this function as (*sys_wrX) is already inline!
+****************************************************************************/
+void store_data_word(
+    uint offset,
+    u16 val)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access((u16)get_data_segment(), offset);
+#endif
+    (*sys_wrw)((get_data_segment() << 4) + offset, val);
+}
+
+/****************************************************************************
+PARAMETERS:
+offset  - Offset to store data at
+val     - Value to store
+
+REMARKS:
+Writes a long value to an segmented memory location. The segment used is
+the current 'default' segment, which may have been overridden.
+
+NOTE: Do not inline this function as (*sys_wrX) is already inline!
+****************************************************************************/
+void store_data_long(
+    uint offset,
+    u32 val)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access((u16)get_data_segment(), offset);
+#endif
+    (*sys_wrl)((get_data_segment() << 4) + offset, val);
+}
+
+/****************************************************************************
+PARAMETERS:
+segment - Segment to store data at
+offset  - Offset to store data at
+val     - Value to store
+
+REMARKS:
+Writes a byte value to an absolute memory location.
+
+NOTE: Do not inline this function as (*sys_wrX) is already inline!
+****************************************************************************/
+void store_data_byte_abs(
+    uint segment,
+    uint offset,
+    u8 val)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access(segment, offset);
+#endif
+    (*sys_wrb)(((u32)segment << 4) + offset, val);
+}
+
+/****************************************************************************
+PARAMETERS:
+segment - Segment to store data at
+offset  - Offset to store data at
+val     - Value to store
+
+REMARKS:
+Writes a word value to an absolute memory location.
+
+NOTE: Do not inline this function as (*sys_wrX) is already inline!
+****************************************************************************/
+void store_data_word_abs(
+    uint segment,
+    uint offset,
+    u16 val)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access(segment, offset);
+#endif
+    (*sys_wrw)(((u32)segment << 4) + offset, val);
+}
+
+/****************************************************************************
+PARAMETERS:
+segment - Segment to store data at
+offset  - Offset to store data at
+val     - Value to store
+
+REMARKS:
+Writes a long value to an absolute memory location.
+
+NOTE: Do not inline this function as (*sys_wrX) is already inline!
+****************************************************************************/
+void store_data_long_abs(
+    uint segment,
+    uint offset,
+    u32 val)
+{
+#ifdef DEBUG
+    if (CHECK_DATA_ACCESS())
+        x86emu_check_data_access(segment, offset);
+#endif
+    (*sys_wrl)(((u32)segment << 4) + offset, val);
+}
+
+/****************************************************************************
+PARAMETERS:
+reg - Register to decode
+
+RETURNS:
+Pointer to the appropriate register
+
+REMARKS:
+Return a pointer to the register given by the R/RM field of the
+modrm byte, for byte operands. Also enables the decoding of instructions.
+****************************************************************************/
+u8* decode_rm_byte_register(
+    int reg)
+{
+    switch (reg) {
+      case 0:
+        DECODE_PRINTF("AL");
+        return &M.x86.R_AL;
+      case 1:
+        DECODE_PRINTF("CL");
+        return &M.x86.R_CL;
+      case 2:
+        DECODE_PRINTF("DL");
+        return &M.x86.R_DL;
+      case 3:
+        DECODE_PRINTF("BL");
+        return &M.x86.R_BL;
+      case 4:
+        DECODE_PRINTF("AH");
+        return &M.x86.R_AH;
+      case 5:
+        DECODE_PRINTF("CH");
+        return &M.x86.R_CH;
+      case 6:
+        DECODE_PRINTF("DH");
+        return &M.x86.R_DH;
+      case 7:
+        DECODE_PRINTF("BH");
+        return &M.x86.R_BH;
+    }
+    HALT_SYS();
+    return NULL;                /* NOT REACHED OR REACHED ON ERROR */
+}
+
+/****************************************************************************
+PARAMETERS:
+reg - Register to decode
+
+RETURNS:
+Pointer to the appropriate register
+
+REMARKS:
+Return a pointer to the register given by the R/RM field of the
+modrm byte, for word operands.  Also enables the decoding of instructions.
+****************************************************************************/
+u16* decode_rm_word_register(
+    int reg)
+{
+    switch (reg) {
+      case 0:
+        DECODE_PRINTF("AX");
+        return &M.x86.R_AX;
+      case 1:
+        DECODE_PRINTF("CX");
+        return &M.x86.R_CX;
+      case 2:
+        DECODE_PRINTF("DX");
+        return &M.x86.R_DX;
+      case 3:
+        DECODE_PRINTF("BX");
+        return &M.x86.R_BX;
+      case 4:
+        DECODE_PRINTF("SP");
+        return &M.x86.R_SP;
+      case 5:
+        DECODE_PRINTF("BP");
+        return &M.x86.R_BP;
+      case 6:
+        DECODE_PRINTF("SI");
+        return &M.x86.R_SI;
+      case 7:
+        DECODE_PRINTF("DI");
+        return &M.x86.R_DI;
+    }
+    HALT_SYS();
+    return NULL;                /* NOTREACHED OR REACHED ON ERROR */
+}
+
+/****************************************************************************
+PARAMETERS:
+reg - Register to decode
+
+RETURNS:
+Pointer to the appropriate register
+
+REMARKS:
+Return a pointer to the register given by the R/RM field of the
+modrm byte, for dword operands.  Also enables the decoding of instructions.
+****************************************************************************/
+u32* decode_rm_long_register(
+    int reg)
+{
+    switch (reg) {
+      case 0:
+        DECODE_PRINTF("EAX");
+        return &M.x86.R_EAX;
+      case 1:
+        DECODE_PRINTF("ECX");
+        return &M.x86.R_ECX;
+      case 2:
+        DECODE_PRINTF("EDX");
+        return &M.x86.R_EDX;
+      case 3:
+        DECODE_PRINTF("EBX");
+        return &M.x86.R_EBX;
+      case 4:
+        DECODE_PRINTF("ESP");
+        return &M.x86.R_ESP;
+      case 5:
+        DECODE_PRINTF("EBP");
+        return &M.x86.R_EBP;
+      case 6:
+        DECODE_PRINTF("ESI");
+        return &M.x86.R_ESI;
+      case 7:
+        DECODE_PRINTF("EDI");
+        return &M.x86.R_EDI;
+    }
+    HALT_SYS();
+    return NULL;                /* NOTREACHED OR REACHED ON ERROR */
+}
+
+/****************************************************************************
+PARAMETERS:
+reg - Register to decode
+
+RETURNS:
+Pointer to the appropriate register
+
+REMARKS:
+Return a pointer to the register given by the R/RM field of the
+modrm byte, for word operands, modified from above for the weirdo
+special case of segreg operands.  Also enables the decoding of instructions.
+****************************************************************************/
+u16* decode_rm_seg_register(
+    int reg)
+{
+    switch (reg) {
+      case 0:
+        DECODE_PRINTF("ES");
+        return &M.x86.R_ES;
+      case 1:
+        DECODE_PRINTF("CS");
+        return &M.x86.R_CS;
+      case 2:
+        DECODE_PRINTF("SS");
+        return &M.x86.R_SS;
+      case 3:
+        DECODE_PRINTF("DS");
+        return &M.x86.R_DS;
+      case 4:
+        DECODE_PRINTF("FS");
+        return &M.x86.R_FS;
+      case 5:
+        DECODE_PRINTF("GS");
+        return &M.x86.R_GS;
+      case 6:
+      case 7:
+        DECODE_PRINTF("ILLEGAL SEGREG");
+        break;
+    }
+    HALT_SYS();
+    return NULL;                /* NOT REACHED OR REACHED ON ERROR */
+}
+
+/****************************************************************************
+PARAMETERS:
+scale - scale value of SIB byte
+index - index value of SIB byte
+
+RETURNS:
+Value of scale * index
+
+REMARKS:
+Decodes scale/index of SIB byte and returns relevant offset part of 
+effective address.
+****************************************************************************/
+static unsigned decode_sib_si(
+    int scale,
+    int index)
+{
+    scale = 1 << scale;
+    if (scale > 1) {
+        DECODE_PRINTF2("[%d*", scale);
+    } else {
+        DECODE_PRINTF("[");
+    }
+    switch (index) {
+      case 0:
+        DECODE_PRINTF("EAX]");
+        return M.x86.R_EAX * index;
+      case 1:
+        DECODE_PRINTF("ECX]");
+        return M.x86.R_ECX * index;
+      case 2:
+        DECODE_PRINTF("EDX]");
+        return M.x86.R_EDX * index;
+      case 3:
+        DECODE_PRINTF("EBX]");
+        return M.x86.R_EBX * index;
+      case 4:
+        DECODE_PRINTF("0]");
+        return 0;
+      case 5:
+        DECODE_PRINTF("EBP]");
+        return M.x86.R_EBP * index;
+      case 6:
+        DECODE_PRINTF("ESI]");
+        return M.x86.R_ESI * index;
+      case 7:
+        DECODE_PRINTF("EDI]");
+        return M.x86.R_EDI * index;
+    }
+    HALT_SYS();
+    return 0;                   /* NOT REACHED OR REACHED ON ERROR */
+}
+
+/****************************************************************************
+PARAMETERS:
+mod - MOD value of preceding ModR/M byte
+
+RETURNS:
+Offset in memory for the address decoding
+
+REMARKS:
+Decodes SIB addressing byte and returns calculated effective address.
+****************************************************************************/
+static unsigned decode_sib_address(
+    int mod)
+{
+    int sib   = fetch_byte_imm();
+    int ss    = (sib >> 6) & 0x03;
+    int index = (sib >> 3) & 0x07;
+    int base  = sib & 0x07;
+    int offset = 0;
+    int displacement;
+
+    switch (base) {
+      case 0:
+        DECODE_PRINTF("[EAX]");
+        offset = M.x86.R_EAX;
+        break;
+      case 1:
+        DECODE_PRINTF("[ECX]");
+        offset = M.x86.R_ECX;
+        break;
+      case 2:
+        DECODE_PRINTF("[EDX]");
+        offset = M.x86.R_EDX;
+        break;
+      case 3:
+        DECODE_PRINTF("[EBX]");
+        offset = M.x86.R_EBX;
+        break;
+      case 4:
+        DECODE_PRINTF("[ESP]");
+        offset = M.x86.R_ESP;
+        break;
+      case 5:
+        switch (mod) {
+          case 0:
+            displacement = (s32)fetch_long_imm();
+            DECODE_PRINTF2("[%d]", displacement);
+            offset = displacement;
+            break;
+          case 1:
+            displacement = (s8)fetch_byte_imm();
+            DECODE_PRINTF2("[%d][EBP]", displacement);
+            offset = M.x86.R_EBP + displacement;
+            break;
+          case 2:
+            displacement = (s32)fetch_long_imm();
+            DECODE_PRINTF2("[%d][EBP]", displacement);
+            offset = M.x86.R_EBP + displacement;
+            break;
+          default:
+            HALT_SYS();
+        }
+        DECODE_PRINTF("[EAX]");
+        offset = M.x86.R_EAX;
+        break;
+      case 6:
+        DECODE_PRINTF("[ESI]");
+        offset = M.x86.R_ESI;
+        break;
+      case 7:
+        DECODE_PRINTF("[EDI]");
+        offset = M.x86.R_EDI;
+        break;
+      default:
+        HALT_SYS();
+    }
+    offset += decode_sib_si(ss, index);
+    return offset;
+}
+
+/****************************************************************************
+PARAMETERS:
+rm  - RM value to decode
+
+RETURNS:
+Offset in memory for the address decoding
+
+REMARKS:
+Return the offset given by mod=00 addressing.  Also enables the
+decoding of instructions.
+
+NOTE:   The code which specifies the corresponding segment (ds vs ss)
+        below in the case of [BP+..].  The assumption here is that at the
+        point that this subroutine is called, the bit corresponding to
+        SYSMODE_SEG_DS_SS will be zero.  After every instruction
+        except the segment override instructions, this bit (as well
+        as any bits indicating segment overrides) will be clear.  So
+        if a SS access is needed, set this bit.  Otherwise, DS access
+        occurs (unless any of the segment override bits are set).
+****************************************************************************/
+unsigned decode_rm00_address(
+    int rm)
+{
+    unsigned offset;
+
+    if (M.x86.mode & SYSMODE_PREFIX_ADDR) {
+        /* 32-bit addressing */
+        switch (rm) {
+          case 0:
+            DECODE_PRINTF("[EAX]");
+            return M.x86.R_EAX;
+          case 1:
+            DECODE_PRINTF("[ECX]");
+            return M.x86.R_ECX;
+          case 2:
+            DECODE_PRINTF("[EDX]");
+            return M.x86.R_EDX;
+          case 3:
+            DECODE_PRINTF("[EBX]");
+            return M.x86.R_EBX;
+          case 4:
+            return decode_sib_address(0);
+          case 5:
+            offset = fetch_long_imm();
+            DECODE_PRINTF2("[%08x]", offset);
+            return offset;
+          case 6:
+            DECODE_PRINTF("[ESI]");
+            return M.x86.R_ESI;
+          case 7:
+            DECODE_PRINTF("[EDI]");
+            return M.x86.R_EDI;
+        }
+    } else {
+        /* 16-bit addressing */
+        switch (rm) {
+          case 0:
+            DECODE_PRINTF("[BX+SI]");
+            return (M.x86.R_BX + M.x86.R_SI) & 0xffff;
+          case 1:
+            DECODE_PRINTF("[BX+DI]");
+            return (M.x86.R_BX + M.x86.R_DI) & 0xffff;
+          case 2:
+            DECODE_PRINTF("[BP+SI]");
+            M.x86.mode |= SYSMODE_SEG_DS_SS;
+            return (M.x86.R_BP + M.x86.R_SI) & 0xffff;
+          case 3:
+            DECODE_PRINTF("[BP+DI]");
+            M.x86.mode |= SYSMODE_SEG_DS_SS;
+            return (M.x86.R_BP + M.x86.R_DI) & 0xffff;
+          case 4:
+            DECODE_PRINTF("[SI]");
+            return M.x86.R_SI;
+          case 5:
+            DECODE_PRINTF("[DI]");
+            return M.x86.R_DI;
+          case 6:
+            offset = fetch_word_imm();
+            DECODE_PRINTF2("[%04x]", offset);
+            return offset;
+          case 7:
+            DECODE_PRINTF("[BX]");
+            return M.x86.R_BX;
+        }
+    }
+    HALT_SYS();
+    return 0;
+}
+
+/****************************************************************************
+PARAMETERS:
+rm  - RM value to decode
+
+RETURNS:
+Offset in memory for the address decoding
+
+REMARKS:
+Return the offset given by mod=01 addressing.  Also enables the
+decoding of instructions.
+****************************************************************************/
+unsigned decode_rm01_address(
+    int rm)
+{
+    int displacement;
+
+    if (M.x86.mode & SYSMODE_PREFIX_ADDR) {
+        /* 32-bit addressing */
+        if (rm != 4)
+            displacement = (s8)fetch_byte_imm();
+        else
+            displacement = 0;
+
+        switch (rm) {
+          case 0:
+            DECODE_PRINTF2("%d[EAX]", displacement);
+            return M.x86.R_EAX + displacement;
+          case 1:
+            DECODE_PRINTF2("%d[ECX]", displacement);
+            return M.x86.R_ECX + displacement;
+          case 2:
+            DECODE_PRINTF2("%d[EDX]", displacement);
+            return M.x86.R_EDX + displacement;
+          case 3:
+            DECODE_PRINTF2("%d[EBX]", displacement);
+            return M.x86.R_EBX + displacement;
+          case 4: {
+            int offset = decode_sib_address(1);
+            displacement = (s8)fetch_byte_imm();
+            DECODE_PRINTF2("[%d]", displacement);
+            return offset + displacement;
+          }
+          case 5:
+            DECODE_PRINTF2("%d[EBP]", displacement);
+            return M.x86.R_EBP + displacement;
+          case 6:
+            DECODE_PRINTF2("%d[ESI]", displacement);
+            return M.x86.R_ESI + displacement;
+          case 7:
+            DECODE_PRINTF2("%d[EDI]", displacement);
+            return M.x86.R_EDI + displacement;
+        }
+    } else {
+        /* 16-bit addressing */
+        displacement = (s8)fetch_byte_imm();
+        switch (rm) {
+          case 0:
+            DECODE_PRINTF2("%d[BX+SI]", displacement);
+            return (M.x86.R_BX + M.x86.R_SI + displacement) & 0xffff;
+          case 1:
+            DECODE_PRINTF2("%d[BX+DI]", displacement);
+            return (M.x86.R_BX + M.x86.R_DI + displacement) & 0xffff;
+          case 2:
+            DECODE_PRINTF2("%d[BP+SI]", displacement);
+            M.x86.mode |= SYSMODE_SEG_DS_SS;
+            return (M.x86.R_BP + M.x86.R_SI + displacement) & 0xffff;
+          case 3:
+            DECODE_PRINTF2("%d[BP+DI]", displacement);
+            M.x86.mode |= SYSMODE_SEG_DS_SS;
+            return (M.x86.R_BP + M.x86.R_DI + displacement) & 0xffff;
+          case 4:
+            DECODE_PRINTF2("%d[SI]", displacement);
+            return (M.x86.R_SI + displacement) & 0xffff;
+          case 5:
+            DECODE_PRINTF2("%d[DI]", displacement);
+            return (M.x86.R_DI + displacement) & 0xffff;
+          case 6:
+            DECODE_PRINTF2("%d[BP]", displacement);
+            M.x86.mode |= SYSMODE_SEG_DS_SS;
+            return (M.x86.R_BP + displacement) & 0xffff;
+          case 7:
+            DECODE_PRINTF2("%d[BX]", displacement);
+            return (M.x86.R_BX + displacement) & 0xffff;
+        }
+    }
+    HALT_SYS();
+    return 0;                   /* SHOULD NOT HAPPEN */
+}
+
+/****************************************************************************
+PARAMETERS:
+rm  - RM value to decode
+
+RETURNS:
+Offset in memory for the address decoding
+
+REMARKS:
+Return the offset given by mod=10 addressing.  Also enables the
+decoding of instructions.
+****************************************************************************/
+unsigned decode_rm10_address(
+    int rm)
+{
+    if (M.x86.mode & SYSMODE_PREFIX_ADDR) {
+        int displacement;
+
+        /* 32-bit addressing */
+        if (rm != 4)
+            displacement = (s32)fetch_long_imm();
+        else
+            displacement = 0;
+
+        switch (rm) {
+          case 0:
+            DECODE_PRINTF2("%d[EAX]", displacement);
+            return M.x86.R_EAX + displacement;
+          case 1:
+            DECODE_PRINTF2("%d[ECX]", displacement);
+            return M.x86.R_ECX + displacement;
+          case 2:
+            DECODE_PRINTF2("%d[EDX]", displacement);
+            return M.x86.R_EDX + displacement;
+          case 3:
+            DECODE_PRINTF2("%d[EBX]", displacement);
+            return M.x86.R_EBX + displacement;
+          case 4: {
+            int offset = decode_sib_address(2);
+            displacement = (s32)fetch_long_imm();
+            DECODE_PRINTF2("[%d]", displacement);
+            return offset + displacement;
+          }
+          case 5:
+            DECODE_PRINTF2("%d[EBP]", displacement);
+            return M.x86.R_EBP + displacement;
+          case 6:
+            DECODE_PRINTF2("%d[ESI]", displacement);
+            return M.x86.R_ESI + displacement;
+          case 7:
+            DECODE_PRINTF2("%d[EDI]", displacement);
+            return M.x86.R_EDI + displacement;
+        }
+    } else {
+        int displacement = (s16)fetch_word_imm();
+
+        /* 16-bit addressing */
+        switch (rm) {
+          case 0:
+            DECODE_PRINTF2("%d[BX+SI]", displacement);
+            return (M.x86.R_BX + M.x86.R_SI + displacement) & 0xffff;
+          case 1:
+            DECODE_PRINTF2("%d[BX+DI]", displacement);
+            return (M.x86.R_BX + M.x86.R_DI + displacement) & 0xffff;
+          case 2:
+            DECODE_PRINTF2("%d[BP+SI]", displacement);
+            M.x86.mode |= SYSMODE_SEG_DS_SS;
+            return (M.x86.R_BP + M.x86.R_SI + displacement) & 0xffff;
+          case 3:
+            DECODE_PRINTF2("%d[BP+DI]", displacement);
+            M.x86.mode |= SYSMODE_SEG_DS_SS;
+            return (M.x86.R_BP + M.x86.R_DI + displacement) & 0xffff;
+          case 4:
+            DECODE_PRINTF2("%d[SI]", displacement);
+            return (M.x86.R_SI + displacement) & 0xffff;
+          case 5:
+            DECODE_PRINTF2("%d[DI]", displacement);
+            return (M.x86.R_DI + displacement) & 0xffff;
+          case 6:
+            DECODE_PRINTF2("%d[BP]", displacement);
+            M.x86.mode |= SYSMODE_SEG_DS_SS;
+            return (M.x86.R_BP + displacement) & 0xffff;
+          case 7:
+            DECODE_PRINTF2("%d[BX]", displacement);
+            return (M.x86.R_BX + displacement) & 0xffff;
+        }
+    }
+    HALT_SYS();
+    return 0;                   /* SHOULD NOT HAPPEN */
+}
+
+
+/****************************************************************************
+PARAMETERS:
+mod - modifier
+rm  - RM value to decode
+
+RETURNS:
+Offset in memory for the address decoding, multiplexing calls to
+the decode_rmXX_address functions
+
+REMARKS:
+Return the offset given by "mod" addressing.
+****************************************************************************/
+
+unsigned decode_rmXX_address(int mod, int rm)
+{
+  if(mod == 0)
+    return decode_rm00_address(rm);
+  if(mod == 1)
+    return decode_rm01_address(rm);
+  return decode_rm10_address(rm);
+}
+
+
+
diff --git a/src/devices/oprom/x86emu/decode.h b/src/devices/oprom/x86emu/decode.h
new file mode 100644 (file)
index 0000000..99ed7f6
--- /dev/null
@@ -0,0 +1,88 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  Header file for instruction decoding logic.
+*
+****************************************************************************/
+
+#ifndef __X86EMU_DECODE_H
+#define __X86EMU_DECODE_H
+
+/*---------------------- Macros and type definitions ----------------------*/
+
+/* Instruction Decoding Stuff */
+
+#define FETCH_DECODE_MODRM(mod,rh,rl)  fetch_decode_modrm(&mod,&rh,&rl)
+#define DECODE_RM_BYTE_REGISTER(r)     decode_rm_byte_register(r)
+#define DECODE_RM_WORD_REGISTER(r)     decode_rm_word_register(r)
+#define DECODE_RM_LONG_REGISTER(r)     decode_rm_long_register(r)
+#define DECODE_CLEAR_SEGOVR()          M.x86.mode &= ~SYSMODE_CLRMASK
+
+/*-------------------------- Function Prototypes --------------------------*/
+
+#ifdef  __cplusplus
+extern "C" {                                   /* Use "C" linkage when in C++ mode */
+#endif
+
+void   x86emu_intr_raise (u8 type);
+void    fetch_decode_modrm (int *mod,int *regh,int *regl);
+u8      fetch_byte_imm (void);
+u16     fetch_word_imm (void);
+u32     fetch_long_imm (void);
+u8      fetch_data_byte (uint offset);
+u8      fetch_data_byte_abs (uint segment, uint offset);
+u16     fetch_data_word (uint offset);
+u16     fetch_data_word_abs (uint segment, uint offset);
+u32     fetch_data_long (uint offset);
+u32     fetch_data_long_abs (uint segment, uint offset);
+void    store_data_byte (uint offset, u8 val);
+void    store_data_byte_abs (uint segment, uint offset, u8 val);
+void    store_data_word (uint offset, u16 val);
+void    store_data_word_abs (uint segment, uint offset, u16 val);
+void    store_data_long (uint offset, u32 val);
+void    store_data_long_abs (uint segment, uint offset, u32 val);
+u8*    decode_rm_byte_register(int reg);
+u16*   decode_rm_word_register(int reg);
+u32*   decode_rm_long_register(int reg);
+u16*   decode_rm_seg_register(int reg);
+unsigned decode_rm00_address(int rm);
+unsigned decode_rm01_address(int rm);
+unsigned decode_rm10_address(int rm);
+unsigned decode_rmXX_address(int mod, int rm);
+
+#ifdef  __cplusplus
+}                                              /* End of "C" linkage for C++           */
+#endif
+
+#endif /* __X86EMU_DECODE_H */
diff --git a/src/devices/oprom/x86emu/fpu.c b/src/devices/oprom/x86emu/fpu.c
new file mode 100644 (file)
index 0000000..daa2ffa
--- /dev/null
@@ -0,0 +1,945 @@
+/****************************************************************************
+*
+*                       Realmode X86 Emulator Library
+*
+*               Copyright (C) 1991-2004 SciTech Software, Inc.
+*                    Copyright (C) David Mosberger-Tang
+*                      Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:     ANSI C
+* Environment:  Any
+* Developer:    Kendall Bennett
+*
+* Description:  This file contains the code to implement the decoding and
+*               emulation of the FPU instructions.
+*
+****************************************************************************/
+
+#include "x86emui.h"
+
+/*----------------------------- Implementation ----------------------------*/
+
+/* opcode=0xd8 */
+void x86emuOp_esc_coprocess_d8(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("ESC D8\n");
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR_NO_TRACE();
+}
+
+#ifdef DEBUG
+
+static const char *x86emu_fpu_op_d9_tab[] = {
+    "FLD\tDWORD PTR ", "ESC_D9\t", "FST\tDWORD PTR ", "FSTP\tDWORD PTR ",
+    "FLDENV\t", "FLDCW\t", "FSTENV\t", "FSTCW\t",
+
+    "FLD\tDWORD PTR ", "ESC_D9\t", "FST\tDWORD PTR ", "FSTP\tDWORD PTR ",
+    "FLDENV\t", "FLDCW\t", "FSTENV\t", "FSTCW\t",
+
+    "FLD\tDWORD PTR ", "ESC_D9\t", "FST\tDWORD PTR ", "FSTP\tDWORD PTR ",
+    "FLDENV\t", "FLDCW\t", "FSTENV\t", "FSTCW\t",
+};
+
+static const char *x86emu_fpu_op_d9_tab1[] = {
+    "FLD\t", "FLD\t", "FLD\t", "FLD\t",
+    "FLD\t", "FLD\t", "FLD\t", "FLD\t",
+
+    "FXCH\t", "FXCH\t", "FXCH\t", "FXCH\t",
+    "FXCH\t", "FXCH\t", "FXCH\t", "FXCH\t",
+
+    "FNOP", "ESC_D9", "ESC_D9", "ESC_D9",
+    "ESC_D9", "ESC_D9", "ESC_D9", "ESC_D9",
+
+    "FSTP\t", "FSTP\t", "FSTP\t", "FSTP\t",
+    "FSTP\t", "FSTP\t", "FSTP\t", "FSTP\t",
+
+    "FCHS", "FABS", "ESC_D9", "ESC_D9",
+    "FTST", "FXAM", "ESC_D9", "ESC_D9",
+
+    "FLD1", "FLDL2T", "FLDL2E", "FLDPI",
+    "FLDLG2", "FLDLN2", "FLDZ", "ESC_D9",
+
+    "F2XM1", "FYL2X", "FPTAN", "FPATAN",
+    "FXTRACT", "ESC_D9", "FDECSTP", "FINCSTP",
+
+    "FPREM", "FYL2XP1", "FSQRT", "ESC_D9",
+    "FRNDINT", "FSCALE", "ESC_D9", "ESC_D9",
+};
+
+#endif /* DEBUG */
+
+/* opcode=0xd9 */
+void x86emuOp_esc_coprocess_d9(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8 stkelem;
+
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (mod != 3) {
+        DECODE_PRINTINSTR32(x86emu_fpu_op_d9_tab, mod, rh, rl);
+    } else {
+        DECODE_PRINTF(x86emu_fpu_op_d9_tab1[(rh << 3) + rl]);
+    }
+#endif
+    switch (mod) {
+      case 0:
+        destoffset = decode_rm00_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 1:
+        destoffset = decode_rm01_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 2:
+        destoffset = decode_rm10_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 3:                   /* register to register */
+        stkelem = (u8)rl;
+        if (rh < 4) {
+                DECODE_PRINTF2("ST(%d)\n", stkelem);
+        } else {
+                DECODE_PRINTF("\n");
+        }
+        break;
+    }
+#ifdef X86EMU_FPU_PRESENT
+    /* execute */
+    switch (mod) {
+      case 3:
+        switch (rh) {
+          case 0:
+            x86emu_fpu_R_fld(X86EMU_FPU_STKTOP, stkelem);
+            break;
+          case 1:
+            x86emu_fpu_R_fxch(X86EMU_FPU_STKTOP, stkelem);
+            break;
+          case 2:
+            switch (rl) {
+              case 0:
+                x86emu_fpu_R_nop();
+                break;
+              default:
+                x86emu_fpu_illegal();
+                break;
+            }
+          case 3:
+            x86emu_fpu_R_fstp(X86EMU_FPU_STKTOP, stkelem);
+            break;
+          case 4:
+            switch (rl) {
+            case 0:
+                x86emu_fpu_R_fchs(X86EMU_FPU_STKTOP);
+                break;
+            case 1:
+                x86emu_fpu_R_fabs(X86EMU_FPU_STKTOP);
+                break;
+            case 4:
+                x86emu_fpu_R_ftst(X86EMU_FPU_STKTOP);
+                break;
+            case 5:
+                x86emu_fpu_R_fxam(X86EMU_FPU_STKTOP);
+                break;
+            default:
+                /* 2,3,6,7 */
+                x86emu_fpu_illegal();
+                break;
+            }
+            break;
+
+          case 5:
+            switch (rl) {
+              case 0:
+                x86emu_fpu_R_fld1(X86EMU_FPU_STKTOP);
+                break;
+              case 1:
+                x86emu_fpu_R_fldl2t(X86EMU_FPU_STKTOP);
+                break;
+              case 2:
+                x86emu_fpu_R_fldl2e(X86EMU_FPU_STKTOP);
+                break;
+              case 3:
+                x86emu_fpu_R_fldpi(X86EMU_FPU_STKTOP);
+                break;
+              case 4:
+                x86emu_fpu_R_fldlg2(X86EMU_FPU_STKTOP);
+                break;
+              case 5:
+                x86emu_fpu_R_fldln2(X86EMU_FPU_STKTOP);
+                break;
+              case 6:
+                x86emu_fpu_R_fldz(X86EMU_FPU_STKTOP);
+                break;
+              default:
+                /* 7 */
+                x86emu_fpu_illegal();
+                break;
+            }
+            break;
+
+          case 6:
+            switch (rl) {
+              case 0:
+                x86emu_fpu_R_f2xm1(X86EMU_FPU_STKTOP);
+                break;
+              case 1:
+                x86emu_fpu_R_fyl2x(X86EMU_FPU_STKTOP);
+                break;
+              case 2:
+                x86emu_fpu_R_fptan(X86EMU_FPU_STKTOP);
+                break;
+              case 3:
+                x86emu_fpu_R_fpatan(X86EMU_FPU_STKTOP);
+                break;
+              case 4:
+                x86emu_fpu_R_fxtract(X86EMU_FPU_STKTOP);
+                break;
+              case 5:
+                x86emu_fpu_illegal();
+                break;
+              case 6:
+                x86emu_fpu_R_decstp();
+                break;
+              case 7:
+                x86emu_fpu_R_incstp();
+                break;
+            }
+            break;
+
+          case 7:
+            switch (rl) {
+              case 0:
+                x86emu_fpu_R_fprem(X86EMU_FPU_STKTOP);
+                break;
+              case 1:
+                x86emu_fpu_R_fyl2xp1(X86EMU_FPU_STKTOP);
+                break;
+              case 2:
+                x86emu_fpu_R_fsqrt(X86EMU_FPU_STKTOP);
+                break;
+              case 3:
+                x86emu_fpu_illegal();
+                break;
+              case 4:
+                x86emu_fpu_R_frndint(X86EMU_FPU_STKTOP);
+                break;
+              case 5:
+                x86emu_fpu_R_fscale(X86EMU_FPU_STKTOP);
+                break;
+              case 6:
+              case 7:
+              default:
+                x86emu_fpu_illegal();
+                break;
+            }
+            break;
+
+          default:
+            switch (rh) {
+              case 0:
+                x86emu_fpu_M_fld(X86EMU_FPU_FLOAT, destoffset);
+                break;
+              case 1:
+                x86emu_fpu_illegal();
+                break;
+              case 2:
+                x86emu_fpu_M_fst(X86EMU_FPU_FLOAT, destoffset);
+                break;
+              case 3:
+                x86emu_fpu_M_fstp(X86EMU_FPU_FLOAT, destoffset);
+                break;
+              case 4:
+                x86emu_fpu_M_fldenv(X86EMU_FPU_WORD, destoffset);
+                break;
+              case 5:
+                x86emu_fpu_M_fldcw(X86EMU_FPU_WORD, destoffset);
+                break;
+              case 6:
+                x86emu_fpu_M_fstenv(X86EMU_FPU_WORD, destoffset);
+                break;
+              case 7:
+                x86emu_fpu_M_fstcw(X86EMU_FPU_WORD, destoffset);
+                break;
+            }
+        }
+    }
+#endif /* X86EMU_FPU_PRESENT */
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR_NO_TRACE();
+}
+
+#ifdef DEBUG
+
+static const char *x86emu_fpu_op_da_tab[] = {
+    "FIADD\tDWORD PTR ", "FIMUL\tDWORD PTR ", "FICOM\tDWORD PTR ",
+    "FICOMP\tDWORD PTR ",
+    "FISUB\tDWORD PTR ", "FISUBR\tDWORD PTR ", "FIDIV\tDWORD PTR ",
+    "FIDIVR\tDWORD PTR ",
+
+    "FIADD\tDWORD PTR ", "FIMUL\tDWORD PTR ", "FICOM\tDWORD PTR ",
+    "FICOMP\tDWORD PTR ",
+    "FISUB\tDWORD PTR ", "FISUBR\tDWORD PTR ", "FIDIV\tDWORD PTR ",
+    "FIDIVR\tDWORD PTR ",
+
+    "FIADD\tDWORD PTR ", "FIMUL\tDWORD PTR ", "FICOM\tDWORD PTR ",
+    "FICOMP\tDWORD PTR ",
+    "FISUB\tDWORD PTR ", "FISUBR\tDWORD PTR ", "FIDIV\tDWORD PTR ",
+    "FIDIVR\tDWORD PTR ",
+
+    "ESC_DA ", "ESC_DA ", "ESC_DA ", "ESC_DA ",
+    "ESC_DA     ", "ESC_DA ", "ESC_DA   ", "ESC_DA ",
+};
+
+#endif /* DEBUG */
+
+/* opcode=0xda */
+void x86emuOp_esc_coprocess_da(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8 stkelem;
+
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    DECODE_PRINTINSTR32(x86emu_fpu_op_da_tab, mod, rh, rl);
+    switch (mod) {
+      case 0:
+        destoffset = decode_rm00_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 1:
+        destoffset = decode_rm01_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 2:
+        destoffset = decode_rm10_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 3:           /* register to register */
+        stkelem = (u8)rl;
+        DECODE_PRINTF2("\tST(%d),ST\n", stkelem);
+        break;
+    }
+#ifdef X86EMU_FPU_PRESENT
+    switch (mod) {
+      case 3:
+        x86emu_fpu_illegal();
+        break;
+      default:
+        switch (rh) {
+          case 0:
+            x86emu_fpu_M_iadd(X86EMU_FPU_SHORT, destoffset);
+            break;
+          case 1:
+            x86emu_fpu_M_imul(X86EMU_FPU_SHORT, destoffset);
+            break;
+          case 2:
+            x86emu_fpu_M_icom(X86EMU_FPU_SHORT, destoffset);
+            break;
+          case 3:
+            x86emu_fpu_M_icomp(X86EMU_FPU_SHORT, destoffset);
+            break;
+          case 4:
+            x86emu_fpu_M_isub(X86EMU_FPU_SHORT, destoffset);
+            break;
+          case 5:
+            x86emu_fpu_M_isubr(X86EMU_FPU_SHORT, destoffset);
+            break;
+          case 6:
+            x86emu_fpu_M_idiv(X86EMU_FPU_SHORT, destoffset);
+            break;
+          case 7:
+            x86emu_fpu_M_idivr(X86EMU_FPU_SHORT, destoffset);
+            break;
+        }
+    }
+#endif
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR_NO_TRACE();
+}
+
+#ifdef DEBUG
+
+static const char *x86emu_fpu_op_db_tab[] = {
+    "FILD\tDWORD PTR ", "ESC_DB\t19", "FIST\tDWORD PTR ", "FISTP\tDWORD PTR ",
+    "ESC_DB\t1C", "FLD\tTBYTE PTR ", "ESC_DB\t1E", "FSTP\tTBYTE PTR ",
+
+    "FILD\tDWORD PTR ", "ESC_DB\t19", "FIST\tDWORD PTR ", "FISTP\tDWORD PTR ",
+    "ESC_DB\t1C", "FLD\tTBYTE PTR ", "ESC_DB\t1E", "FSTP\tTBYTE PTR ",
+
+    "FILD\tDWORD PTR ", "ESC_DB\t19", "FIST\tDWORD PTR ", "FISTP\tDWORD PTR ",
+    "ESC_DB\t1C", "FLD\tTBYTE PTR ", "ESC_DB\t1E", "FSTP\tTBYTE PTR ",
+};
+
+#endif /* DEBUG */
+
+/* opcode=0xdb */
+void x86emuOp_esc_coprocess_db(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (mod != 3) {
+        DECODE_PRINTINSTR32(x86emu_fpu_op_db_tab, mod, rh, rl);
+    } else if (rh == 4) {       /* === 11 10 0 nnn */
+        switch (rl) {
+          case 0:
+            DECODE_PRINTF("FENI\n");
+            break;
+          case 1:
+            DECODE_PRINTF("FDISI\n");
+            break;
+          case 2:
+            DECODE_PRINTF("FCLEX\n");
+            break;
+          case 3:
+            DECODE_PRINTF("FINIT\n");
+            break;
+        }
+    } else {
+        DECODE_PRINTF2("ESC_DB %0x\n", (mod << 6) + (rh << 3) + (rl));
+    }
+#endif /* DEBUG */
+    switch (mod) {
+      case 0:
+        destoffset = decode_rm00_address(rl);
+        break;
+      case 1:
+        destoffset = decode_rm01_address(rl);
+        break;
+      case 2:
+        destoffset = decode_rm10_address(rl);
+        break;
+      case 3:                   /* register to register */
+        break;
+    }
+#ifdef X86EMU_FPU_PRESENT
+    /* execute */
+    switch (mod) {
+      case 3:
+        switch (rh) {
+          case 4:
+            switch (rl) {
+              case 0:
+                x86emu_fpu_R_feni();
+                break;
+              case 1:
+                x86emu_fpu_R_fdisi();
+                break;
+              case 2:
+                x86emu_fpu_R_fclex();
+                break;
+              case 3:
+                x86emu_fpu_R_finit();
+                break;
+              default:
+                x86emu_fpu_illegal();
+                break;
+            }
+            break;
+          default:
+            x86emu_fpu_illegal();
+            break;
+        }
+        break;
+      default:
+        switch (rh) {
+          case 0:
+            x86emu_fpu_M_fild(X86EMU_FPU_SHORT, destoffset);
+            break;
+          case 1:
+            x86emu_fpu_illegal();
+            break;
+          case 2:
+            x86emu_fpu_M_fist(X86EMU_FPU_SHORT, destoffset);
+            break;
+          case 3:
+            x86emu_fpu_M_fistp(X86EMU_FPU_SHORT, destoffset);
+            break;
+          case 4:
+            x86emu_fpu_illegal();
+            break;
+          case 5:
+            x86emu_fpu_M_fld(X86EMU_FPU_LDBL, destoffset);
+            break;
+                      case 6:
+            x86emu_fpu_illegal();
+            break;
+          case 7:
+            x86emu_fpu_M_fstp(X86EMU_FPU_LDBL, destoffset);
+            break;
+        }
+    }
+#endif
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR_NO_TRACE();
+}
+
+#ifdef DEBUG
+static const char *x86emu_fpu_op_dc_tab[] = {
+    "FADD\tQWORD PTR ", "FMUL\tQWORD PTR ", "FCOM\tQWORD PTR ",
+    "FCOMP\tQWORD PTR ",
+    "FSUB\tQWORD PTR ", "FSUBR\tQWORD PTR ", "FDIV\tQWORD PTR ",
+    "FDIVR\tQWORD PTR ",
+
+    "FADD\tQWORD PTR ", "FMUL\tQWORD PTR ", "FCOM\tQWORD PTR ",
+    "FCOMP\tQWORD PTR ",
+    "FSUB\tQWORD PTR ", "FSUBR\tQWORD PTR ", "FDIV\tQWORD PTR ",
+    "FDIVR\tQWORD PTR ",
+
+    "FADD\tQWORD PTR ", "FMUL\tQWORD PTR ", "FCOM\tQWORD PTR ",
+    "FCOMP\tQWORD PTR ",
+    "FSUB\tQWORD PTR ", "FSUBR\tQWORD PTR ", "FDIV\tQWORD PTR ",
+    "FDIVR\tQWORD PTR ",
+
+    "FADD\t", "FMUL\t", "FCOM\t", "FCOMP\t",
+    "FSUBR\t", "FSUB\t", "FDIVR\t", "FDIV\t",
+};
+#endif /* DEBUG */
+
+/* opcode=0xdc */
+void x86emuOp_esc_coprocess_dc(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8 stkelem;
+
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    DECODE_PRINTINSTR32(x86emu_fpu_op_dc_tab, mod, rh, rl);
+    switch (mod) {
+      case 0:
+        destoffset = decode_rm00_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 1:
+        destoffset = decode_rm01_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 2:
+        destoffset = decode_rm10_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 3:                   /* register to register */
+        stkelem = (u8)rl;
+        DECODE_PRINTF2("\tST(%d),ST\n", stkelem);
+        break;
+    }
+#ifdef X86EMU_FPU_PRESENT
+    /* execute */
+    switch (mod) {
+      case 3:
+        switch (rh) {
+          case 0:
+            x86emu_fpu_R_fadd(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 1:
+            x86emu_fpu_R_fmul(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 2:
+            x86emu_fpu_R_fcom(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 3:
+            x86emu_fpu_R_fcomp(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 4:
+            x86emu_fpu_R_fsubr(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 5:
+            x86emu_fpu_R_fsub(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 6:
+            x86emu_fpu_R_fdivr(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 7:
+            x86emu_fpu_R_fdiv(stkelem, X86EMU_FPU_STKTOP);
+            break;
+        }
+        break;
+      default:
+        switch (rh) {
+          case 0:
+            x86emu_fpu_M_fadd(X86EMU_FPU_DOUBLE, destoffset);
+            break;
+          case 1:
+            x86emu_fpu_M_fmul(X86EMU_FPU_DOUBLE, destoffset);
+            break;
+          case 2:
+            x86emu_fpu_M_fcom(X86EMU_FPU_DOUBLE, destoffset);
+            break;
+          case 3:
+            x86emu_fpu_M_fcomp(X86EMU_FPU_DOUBLE, destoffset);
+            break;
+          case 4:
+            x86emu_fpu_M_fsub(X86EMU_FPU_DOUBLE, destoffset);
+            break;
+          case 5:
+            x86emu_fpu_M_fsubr(X86EMU_FPU_DOUBLE, destoffset);
+            break;
+          case 6:
+            x86emu_fpu_M_fdiv(X86EMU_FPU_DOUBLE, destoffset);
+            break;
+          case 7:
+            x86emu_fpu_M_fdivr(X86EMU_FPU_DOUBLE, destoffset);
+            break;
+        }
+    }
+#endif
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR_NO_TRACE();
+}
+
+#ifdef DEBUG
+
+static const char *x86emu_fpu_op_dd_tab[] = {
+    "FLD\tQWORD PTR ", "ESC_DD\t29,", "FST\tQWORD PTR ", "FSTP\tQWORD PTR ",
+    "FRSTOR\t", "ESC_DD\t2D,", "FSAVE\t", "FSTSW\t",
+
+    "FLD\tQWORD PTR ", "ESC_DD\t29,", "FST\tQWORD PTR ", "FSTP\tQWORD PTR ",
+    "FRSTOR\t", "ESC_DD\t2D,", "FSAVE\t", "FSTSW\t",
+
+    "FLD\tQWORD PTR ", "ESC_DD\t29,", "FST\tQWORD PTR ", "FSTP\tQWORD PTR ",
+    "FRSTOR\t", "ESC_DD\t2D,", "FSAVE\t", "FSTSW\t",
+
+    "FFREE\t", "FXCH\t", "FST\t", "FSTP\t",
+    "ESC_DD\t2C,", "ESC_DD\t2D,", "ESC_DD\t2E,", "ESC_DD\t2F,",
+};
+
+#endif /* DEBUG */
+
+/* opcode=0xdd */
+void x86emuOp_esc_coprocess_dd(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8 stkelem;
+
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    DECODE_PRINTINSTR32(x86emu_fpu_op_dd_tab, mod, rh, rl);
+    switch (mod) {
+      case 0:
+        destoffset = decode_rm00_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 1:
+        destoffset = decode_rm01_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 2:
+        destoffset = decode_rm10_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 3:                   /* register to register */
+        stkelem = (u8)rl;
+        DECODE_PRINTF2("\tST(%d),ST\n", stkelem);
+        break;
+    }
+#ifdef X86EMU_FPU_PRESENT
+    switch (mod) {
+      case 3:
+        switch (rh) {
+          case 0:
+            x86emu_fpu_R_ffree(stkelem);
+            break;
+          case 1:
+            x86emu_fpu_R_fxch(stkelem);
+            break;
+          case 2:
+            x86emu_fpu_R_fst(stkelem);  /* register version */
+            break;
+          case 3:
+            x86emu_fpu_R_fstp(stkelem); /* register version */
+            break;
+          default:
+            x86emu_fpu_illegal();
+            break;
+        }
+        break;
+      default:
+        switch (rh) {
+          case 0:
+            x86emu_fpu_M_fld(X86EMU_FPU_DOUBLE, destoffset);
+            break;
+          case 1:
+            x86emu_fpu_illegal();
+            break;
+          case 2:
+            x86emu_fpu_M_fst(X86EMU_FPU_DOUBLE, destoffset);
+            break;
+          case 3:
+            x86emu_fpu_M_fstp(X86EMU_FPU_DOUBLE, destoffset);
+            break;
+          case 4:
+            x86emu_fpu_M_frstor(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 5:
+            x86emu_fpu_illegal();
+            break;
+          case 6:
+            x86emu_fpu_M_fsave(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 7:
+            x86emu_fpu_M_fstsw(X86EMU_FPU_WORD, destoffset);
+            break;
+        }
+    }
+#endif
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR_NO_TRACE();
+}
+
+#ifdef DEBUG
+
+static const char *x86emu_fpu_op_de_tab[] =
+{
+    "FIADD\tWORD PTR ", "FIMUL\tWORD PTR ", "FICOM\tWORD PTR ",
+    "FICOMP\tWORD PTR ",
+    "FISUB\tWORD PTR ", "FISUBR\tWORD PTR ", "FIDIV\tWORD PTR ",
+    "FIDIVR\tWORD PTR ",
+
+    "FIADD\tWORD PTR ", "FIMUL\tWORD PTR ", "FICOM\tWORD PTR ",
+    "FICOMP\tWORD PTR ",
+    "FISUB\tWORD PTR ", "FISUBR\tWORD PTR ", "FIDIV\tWORD PTR ",
+    "FIDIVR\tWORD PTR ",
+
+    "FIADD\tWORD PTR ", "FIMUL\tWORD PTR ", "FICOM\tWORD PTR ",
+    "FICOMP\tWORD PTR ",
+    "FISUB\tWORD PTR ", "FISUBR\tWORD PTR ", "FIDIV\tWORD PTR ",
+    "FIDIVR\tWORD PTR ",
+
+    "FADDP\t", "FMULP\t", "FCOMP\t", "FCOMPP\t",
+    "FSUBRP\t", "FSUBP\t", "FDIVRP\t", "FDIVP\t",
+};
+
+#endif /* DEBUG */
+
+/* opcode=0xde */
+void x86emuOp_esc_coprocess_de(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8 stkelem;
+
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    DECODE_PRINTINSTR32(x86emu_fpu_op_de_tab, mod, rh, rl);
+    switch (mod) {
+      case 0:
+        destoffset = decode_rm00_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 1:
+        destoffset = decode_rm01_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 2:
+        destoffset = decode_rm10_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 3:                   /* register to register */
+        stkelem = (u8)rl;
+        DECODE_PRINTF2("\tST(%d),ST\n", stkelem);
+        break;
+    }
+#ifdef X86EMU_FPU_PRESENT
+    switch (mod) {
+      case 3:
+        switch (rh) {
+          case 0:
+            x86emu_fpu_R_faddp(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 1:
+            x86emu_fpu_R_fmulp(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 2:
+            x86emu_fpu_R_fcomp(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 3:
+            if (stkelem == 1)
+              x86emu_fpu_R_fcompp(stkelem, X86EMU_FPU_STKTOP);
+            else
+              x86emu_fpu_illegal();
+            break;
+          case 4:
+            x86emu_fpu_R_fsubrp(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 5:
+            x86emu_fpu_R_fsubp(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 6:
+            x86emu_fpu_R_fdivrp(stkelem, X86EMU_FPU_STKTOP);
+            break;
+          case 7:
+            x86emu_fpu_R_fdivp(stkelem, X86EMU_FPU_STKTOP);
+            break;
+        }
+        break;
+      default:
+        switch (rh) {
+          case 0:
+            x86emu_fpu_M_fiadd(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 1:
+            x86emu_fpu_M_fimul(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 2:
+            x86emu_fpu_M_ficom(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 3:
+            x86emu_fpu_M_ficomp(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 4:
+            x86emu_fpu_M_fisub(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 5:
+            x86emu_fpu_M_fisubr(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 6:
+            x86emu_fpu_M_fidiv(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 7:
+            x86emu_fpu_M_fidivr(X86EMU_FPU_WORD, destoffset);
+            break;
+        }
+    }
+#endif
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR_NO_TRACE();
+}
+
+#ifdef DEBUG
+
+static const char *x86emu_fpu_op_df_tab[] = {
+    /* mod == 00 */
+    "FILD\tWORD PTR ", "ESC_DF\t39\n", "FIST\tWORD PTR ", "FISTP\tWORD PTR ",
+    "FBLD\tTBYTE PTR ", "FILD\tQWORD PTR ", "FBSTP\tTBYTE PTR ",
+    "FISTP\tQWORD PTR ",
+
+    /* mod == 01 */
+    "FILD\tWORD PTR ", "ESC_DF\t39 ", "FIST\tWORD PTR ", "FISTP\tWORD PTR ",
+    "FBLD\tTBYTE PTR ", "FILD\tQWORD PTR ", "FBSTP\tTBYTE PTR ",
+    "FISTP\tQWORD PTR ",
+
+    /* mod == 10 */
+    "FILD\tWORD PTR ", "ESC_DF\t39 ", "FIST\tWORD PTR ", "FISTP\tWORD PTR ",
+    "FBLD\tTBYTE PTR ", "FILD\tQWORD PTR ", "FBSTP\tTBYTE PTR ",
+    "FISTP\tQWORD PTR ",
+
+    /* mod == 11 */
+    "FFREE\t", "FXCH\t", "FST\t", "FSTP\t",
+    "ESC_DF\t3C,", "ESC_DF\t3D,", "ESC_DF\t3E,", "ESC_DF\t3F,"
+};
+
+#endif /* DEBUG */
+
+/* opcode=0xdf */
+void x86emuOp_esc_coprocess_df(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8 stkelem;
+
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    DECODE_PRINTINSTR32(x86emu_fpu_op_df_tab, mod, rh, rl);
+    switch (mod) {
+      case 0:
+        destoffset = decode_rm00_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 1:
+        destoffset = decode_rm01_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 2:
+        destoffset = decode_rm10_address(rl);
+        DECODE_PRINTF("\n");
+        break;
+      case 3:                   /* register to register */
+        stkelem = (u8)rl;
+        DECODE_PRINTF2("\tST(%d)\n", stkelem);
+        break;
+    }
+#ifdef X86EMU_FPU_PRESENT
+    switch (mod) {
+      case 3:
+        switch (rh) {
+          case 0:
+            x86emu_fpu_R_ffree(stkelem);
+            break;
+          case 1:
+            x86emu_fpu_R_fxch(stkelem);
+            break;
+          case 2:
+            x86emu_fpu_R_fst(stkelem);  /* register version */
+            break;
+          case 3:
+            x86emu_fpu_R_fstp(stkelem); /* register version */
+            break;
+          default:
+            x86emu_fpu_illegal();
+            break;
+        }
+        break;
+      default:
+        switch (rh) {
+          case 0:
+            x86emu_fpu_M_fild(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 1:
+            x86emu_fpu_illegal();
+            break;
+          case 2:
+            x86emu_fpu_M_fist(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 3:
+            x86emu_fpu_M_fistp(X86EMU_FPU_WORD, destoffset);
+            break;
+          case 4:
+            x86emu_fpu_M_fbld(X86EMU_FPU_BSD, destoffset);
+            break;
+          case 5:
+            x86emu_fpu_M_fild(X86EMU_FPU_LONG, destoffset);
+            break;
+          case 6:
+            x86emu_fpu_M_fbstp(X86EMU_FPU_BSD, destoffset);
+            break;
+          case 7:
+            x86emu_fpu_M_fistp(X86EMU_FPU_LONG, destoffset);
+            break;
+        }
+    }
+#endif
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR_NO_TRACE();
+}
diff --git a/src/devices/oprom/x86emu/fpu.h b/src/devices/oprom/x86emu/fpu.h
new file mode 100644 (file)
index 0000000..5fb2714
--- /dev/null
@@ -0,0 +1,61 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  Header file for FPU instruction decoding.
+*
+****************************************************************************/
+
+#ifndef __X86EMU_FPU_H
+#define __X86EMU_FPU_H
+
+#ifdef  __cplusplus
+extern "C" {                                   /* Use "C" linkage when in C++ mode */
+#endif
+
+/* these have to be defined, whether 8087 support compiled in or not. */
+
+extern void x86emuOp_esc_coprocess_d8 (u8 op1);
+extern void x86emuOp_esc_coprocess_d9 (u8 op1);
+extern void x86emuOp_esc_coprocess_da (u8 op1);
+extern void x86emuOp_esc_coprocess_db (u8 op1);
+extern void x86emuOp_esc_coprocess_dc (u8 op1);
+extern void x86emuOp_esc_coprocess_dd (u8 op1);
+extern void x86emuOp_esc_coprocess_de (u8 op1);
+extern void x86emuOp_esc_coprocess_df (u8 op1);
+
+#ifdef  __cplusplus
+}                                              /* End of "C" linkage for C++           */
+#endif
+
+#endif /* __X86EMU_FPU_H */
diff --git a/src/devices/oprom/x86emu/ops.c b/src/devices/oprom/x86emu/ops.c
new file mode 100644 (file)
index 0000000..c66da95
--- /dev/null
@@ -0,0 +1,5472 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1991-2004 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  This file includes subroutines to implement the decoding
+*               and emulation of all the x86 processor instructions.
+*
+* There are approximately 250 subroutines in here, which correspond
+* to the 256 byte-"opcodes" found on the 8086.  The table which
+* dispatches this is found in the files optab.[ch].
+*
+* Each opcode proc has a comment preceeding it which gives it's table
+* address.  Several opcodes are missing (undefined) in the table.
+*
+* Each proc includes information for decoding (DECODE_PRINTF and
+* DECODE_PRINTF2), debugging (TRACE_REGS, SINGLE_STEP), and misc
+* functions (START_OF_INSTR, END_OF_INSTR).
+*
+* Many of the procedures are *VERY* similar in coding.  This has
+* allowed for a very large amount of code to be generated in a fairly
+* short amount of time (i.e. cut, paste, and modify).  The result is
+* that much of the code below could have been folded into subroutines
+* for a large reduction in size of this file.  The downside would be
+* that there would be a penalty in execution speed.  The file could
+* also have been *MUCH* larger by inlining certain functions which
+* were called.  This could have resulted even faster execution.  The
+* prime directive I used to decide whether to inline the code or to
+* modularize it, was basically: 1) no unnecessary subroutine calls,
+* 2) no routines more than about 200 lines in size, and 3) modularize
+* any code that I might not get right the first time.  The fetch_*
+* subroutines fall into the latter category.  The The decode_* fall
+* into the second category.  The coding of the "switch(mod){ .... }"
+* in many of the subroutines below falls into the first category.
+* Especially, the coding of {add,and,or,sub,...}_{byte,word}
+* subroutines are an especially glaring case of the third guideline.
+* Since so much of the code is cloned from other modules (compare
+* opcode #00 to opcode #01), making the basic operations subroutine
+* calls is especially important; otherwise mistakes in coding an
+* "add" would represent a nightmare in maintenance.
+*
+****************************************************************************/
+
+#include "x86emui.h"
+
+/*----------------------------- Implementation ----------------------------*/
+
+/* constant arrays to do several instructions in just one function */
+
+#ifdef DEBUG
+static const char *x86emu_GenOpName[8] = {
+    "ADD", "OR", "ADC", "SBB", "AND", "SUB", "XOR", "CMP"};
+#endif
+
+/* used by several opcodes  */
+static u8 (*genop_byte_operation[])(u8 d, u8 s) =
+{
+    add_byte,           /* 00 */
+    or_byte,            /* 01 */
+    adc_byte,           /* 02 */
+    sbb_byte,           /* 03 */
+    and_byte,           /* 04 */
+    sub_byte,           /* 05 */
+    xor_byte,           /* 06 */
+    cmp_byte,           /* 07 */
+};
+
+static u16 (*genop_word_operation[])(u16 d, u16 s) =
+{
+    add_word,           /*00 */
+    or_word,            /*01 */
+    adc_word,           /*02 */
+    sbb_word,           /*03 */
+    and_word,           /*04 */
+    sub_word,           /*05 */
+    xor_word,           /*06 */
+    cmp_word,           /*07 */
+};
+
+static u32 (*genop_long_operation[])(u32 d, u32 s) =
+{
+    add_long,           /*00 */
+    or_long,            /*01 */
+    adc_long,           /*02 */
+    sbb_long,           /*03 */
+    and_long,           /*04 */
+    sub_long,           /*05 */
+    xor_long,           /*06 */
+    cmp_long,           /*07 */
+};
+
+/* used by opcodes 80, c0, d0, and d2. */
+static u8(*opcD0_byte_operation[])(u8 d, u8 s) =
+{
+    rol_byte,
+    ror_byte,
+    rcl_byte,
+    rcr_byte,
+    shl_byte,
+    shr_byte,
+    shl_byte,           /* sal_byte === shl_byte  by definition */
+    sar_byte,
+};
+
+/* used by opcodes c1, d1, and d3. */
+static u16(*opcD1_word_operation[])(u16 s, u8 d) =
+{
+    rol_word,
+    ror_word,
+    rcl_word,
+    rcr_word,
+    shl_word,
+    shr_word,
+    shl_word,           /* sal_byte === shl_byte  by definition */
+    sar_word,
+};
+
+/* used by opcodes c1, d1, and d3. */
+static u32 (*opcD1_long_operation[])(u32 s, u8 d) =
+{
+    rol_long,
+    ror_long,
+    rcl_long,
+    rcr_long,
+    shl_long,
+    shr_long,
+    shl_long,           /* sal_byte === shl_byte  by definition */
+    sar_long,
+};
+
+#ifdef DEBUG
+
+static const char *opF6_names[8] =
+  { "TEST\t", "", "NOT\t", "NEG\t", "MUL\t", "IMUL\t", "DIV\t", "IDIV\t" };
+
+#endif
+
+/****************************************************************************
+PARAMETERS:
+op1 - Instruction op code
+
+REMARKS:
+Handles illegal opcodes.
+****************************************************************************/
+static void x86emuOp_illegal_op(
+    u8 op1)
+{
+    START_OF_INSTR();
+    if (M.x86.R_SP != 0) {
+        DECODE_PRINTF("ILLEGAL X86 OPCODE\n");
+        TRACE_REGS();
+        DB( printf("%04x:%04x: %02X ILLEGAL X86 OPCODE!\n",
+            M.x86.R_CS, M.x86.R_IP-1,op1));
+        HALT_SYS();
+        }
+    else {
+        /* If we get here, it means the stack pointer is back to zero
+         * so we are just returning from an emulator service call
+         * so therte is no need to display an error message. We trap
+         * the emulator with an 0xF1 opcode to finish the service
+         * call.
+         */
+        X86EMU_halt_sys();
+        }
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcodes 0x00, 0x08, 0x10, 0x18, 0x20, 0x28, 0x30, 0x38
+****************************************************************************/
+static void x86emuOp_genop_byte_RM_R(u8 op1)
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8 *destreg, *srcreg;
+    u8 destval;
+
+    op1 = (op1 >> 3) & 0x7;
+
+    START_OF_INSTR();
+    DECODE_PRINTF(x86emu_GenOpName[op1]);
+    DECODE_PRINTF("\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if(mod<3)
+        { destoffset = decode_rmXX_address(mod,rl);
+        DECODE_PRINTF(",");
+        destval = fetch_data_byte(destoffset);
+        srcreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        destval = genop_byte_operation[op1](destval, *srcreg);
+        if (op1 != 7)
+            store_data_byte(destoffset, destval);
+        }
+    else
+        {                       /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        DECODE_PRINTF(",");
+        srcreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *destreg = genop_byte_operation[op1](*destreg, *srcreg);
+        }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcodes 0x01, 0x09, 0x11, 0x19, 0x21, 0x29, 0x31, 0x39
+****************************************************************************/
+static void x86emuOp_genop_word_RM_R(u8 op1)
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    op1 = (op1 >> 3) & 0x7;
+
+    START_OF_INSTR();
+    DECODE_PRINTF(x86emu_GenOpName[op1]);
+    DECODE_PRINTF("\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+
+    if(mod<3) {
+        destoffset = decode_rmXX_address(mod,rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval;
+            u32 *srcreg;
+
+            DECODE_PRINTF(",");
+            destval = fetch_data_long(destoffset);
+            srcreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            destval = genop_long_operation[op1](destval, *srcreg);
+            if (op1 != 7)
+                store_data_long(destoffset, destval);
+        } else {
+            u16 destval;
+            u16 *srcreg;
+
+            DECODE_PRINTF(",");
+            destval = fetch_data_word(destoffset);
+            srcreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            destval = genop_word_operation[op1](destval, *srcreg);
+            if (op1 != 7)
+                store_data_word(destoffset, destval);
+        }
+    } else {                    /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg, *srcreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = genop_long_operation[op1](*destreg, *srcreg);
+        } else {
+            u16 *destreg, *srcreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = genop_word_operation[op1](*destreg, *srcreg);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcodes 0x02, 0x0a, 0x12, 0x1a, 0x22, 0x2a, 0x32, 0x3a
+****************************************************************************/
+static void x86emuOp_genop_byte_R_RM(u8 op1)
+{
+    int mod, rl, rh;
+    u8 *destreg, *srcreg;
+    uint srcoffset;
+    u8 srcval;
+
+    op1 = (op1 >> 3) & 0x7;
+
+    START_OF_INSTR();
+    DECODE_PRINTF(x86emu_GenOpName[op1]);
+    DECODE_PRINTF("\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcoffset = decode_rmXX_address(mod,rl);
+        srcval = fetch_data_byte(srcoffset);
+    } else {     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcreg = DECODE_RM_BYTE_REGISTER(rl);
+        srcval = *srcreg;
+    }
+    DECODE_PRINTF("\n");
+    TRACE_AND_STEP();
+    *destreg = genop_byte_operation[op1](*destreg, srcval);
+
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcodes 0x03, 0x0b, 0x13, 0x1b, 0x23, 0x2b, 0x33, 0x3b
+****************************************************************************/
+static void x86emuOp_genop_word_R_RM(u8 op1)
+{
+    int mod, rl, rh;
+    uint srcoffset;
+    u32 *destreg32, srcval;
+    u16 *destreg;
+
+    op1 = (op1 >> 3) & 0x7;
+
+    START_OF_INSTR();
+    DECODE_PRINTF(x86emu_GenOpName[op1]);
+    DECODE_PRINTF("\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        srcoffset = decode_rmXX_address(mod,rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            destreg32 = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcval = fetch_data_long(srcoffset);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg32 = genop_long_operation[op1](*destreg32, srcval);
+        } else {
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcval = fetch_data_word(srcoffset);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = genop_word_operation[op1](*destreg, srcval);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *srcreg;
+            destreg32 = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg32 = genop_long_operation[op1](*destreg32, *srcreg);
+        } else {
+            u16 *srcreg;
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = genop_word_operation[op1](*destreg, *srcreg);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcodes 0x04, 0x0c, 0x14, 0x1c, 0x24, 0x2c, 0x34, 0x3c
+****************************************************************************/
+static void x86emuOp_genop_byte_AL_IMM(u8 op1)
+{
+    u8 srcval;
+
+    op1 = (op1 >> 3) & 0x7;
+
+    START_OF_INSTR();
+    DECODE_PRINTF(x86emu_GenOpName[op1]);
+    DECODE_PRINTF("\tAL,");
+    srcval = fetch_byte_imm();
+    DECODE_PRINTF2("%x\n", srcval);
+    TRACE_AND_STEP();
+    M.x86.R_AL = genop_byte_operation[op1](M.x86.R_AL, srcval);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcodes 0x05, 0x0d, 0x15, 0x1d, 0x25, 0x2d, 0x35, 0x3d
+****************************************************************************/
+static void x86emuOp_genop_word_AX_IMM(u8 op1)
+{
+    u32 srcval;
+
+    op1 = (op1 >> 3) & 0x7;
+
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF(x86emu_GenOpName[op1]);
+        DECODE_PRINTF("\tEAX,");
+        srcval = fetch_long_imm();
+    } else {
+        DECODE_PRINTF(x86emu_GenOpName[op1]);
+        DECODE_PRINTF("\tAX,");
+        srcval = fetch_word_imm();
+    }
+    DECODE_PRINTF2("%x\n", srcval);
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        M.x86.R_EAX = genop_long_operation[op1](M.x86.R_EAX, srcval);
+    } else {
+        M.x86.R_AX = genop_word_operation[op1](M.x86.R_AX, (u16)srcval);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x06
+****************************************************************************/
+static void x86emuOp_push_ES(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("PUSH\tES\n");
+    TRACE_AND_STEP();
+    push_word(M.x86.R_ES);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x07
+****************************************************************************/
+static void x86emuOp_pop_ES(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("POP\tES\n");
+    TRACE_AND_STEP();
+    M.x86.R_ES = pop_word();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0e
+****************************************************************************/
+static void x86emuOp_push_CS(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("PUSH\tCS\n");
+    TRACE_AND_STEP();
+    push_word(M.x86.R_CS);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f. Escape for two-byte opcode (286 or better)
+****************************************************************************/
+static void x86emuOp_two_byte(u8 X86EMU_UNUSED(op1))
+{
+    u8 op2 = (*sys_rdb)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP++));
+    INC_DECODED_INST_LEN(1);
+    (*x86emu_optab2[op2])(op2);
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x16
+****************************************************************************/
+static void x86emuOp_push_SS(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("PUSH\tSS\n");
+    TRACE_AND_STEP();
+    push_word(M.x86.R_SS);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x17
+****************************************************************************/
+static void x86emuOp_pop_SS(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("POP\tSS\n");
+    TRACE_AND_STEP();
+    M.x86.R_SS = pop_word();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x1e
+****************************************************************************/
+static void x86emuOp_push_DS(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("PUSH\tDS\n");
+    TRACE_AND_STEP();
+    push_word(M.x86.R_DS);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x1f
+****************************************************************************/
+static void x86emuOp_pop_DS(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("POP\tDS\n");
+    TRACE_AND_STEP();
+    M.x86.R_DS = pop_word();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x26
+****************************************************************************/
+static void x86emuOp_segovr_ES(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("ES:\n");
+    TRACE_AND_STEP();
+    M.x86.mode |= SYSMODE_SEGOVR_ES;
+    /*
+     * note the lack of DECODE_CLEAR_SEGOVR(r) since, here is one of 4
+     * opcode subroutines we do not want to do this.
+     */
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x27
+****************************************************************************/
+static void x86emuOp_daa(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("DAA\n");
+    TRACE_AND_STEP();
+    M.x86.R_AL = daa_byte(M.x86.R_AL);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x2e
+****************************************************************************/
+static void x86emuOp_segovr_CS(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("CS:\n");
+    TRACE_AND_STEP();
+    M.x86.mode |= SYSMODE_SEGOVR_CS;
+    /* note no DECODE_CLEAR_SEGOVR here. */
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x2f
+****************************************************************************/
+static void x86emuOp_das(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("DAS\n");
+    TRACE_AND_STEP();
+    M.x86.R_AL = das_byte(M.x86.R_AL);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x36
+****************************************************************************/
+static void x86emuOp_segovr_SS(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("SS:\n");
+    TRACE_AND_STEP();
+    M.x86.mode |= SYSMODE_SEGOVR_SS;
+    /* no DECODE_CLEAR_SEGOVR ! */
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x37
+****************************************************************************/
+static void x86emuOp_aaa(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("AAA\n");
+    TRACE_AND_STEP();
+    M.x86.R_AX = aaa_word(M.x86.R_AX);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x3e
+****************************************************************************/
+static void x86emuOp_segovr_DS(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("DS:\n");
+    TRACE_AND_STEP();
+    M.x86.mode |= SYSMODE_SEGOVR_DS;
+    /* NO DECODE_CLEAR_SEGOVR! */
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x3f
+****************************************************************************/
+static void x86emuOp_aas(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("AAS\n");
+    TRACE_AND_STEP();
+    M.x86.R_AX = aas_word(M.x86.R_AX);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x40 - 0x47
+****************************************************************************/
+static void x86emuOp_inc_register(u8 op1)
+{
+    START_OF_INSTR();
+    op1 &= 0x7;
+    DECODE_PRINTF("INC\t");
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        u32 *reg;
+        reg = DECODE_RM_LONG_REGISTER(op1);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *reg = inc_long(*reg);
+    } else {
+        u16 *reg;
+        reg = DECODE_RM_WORD_REGISTER(op1);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *reg = inc_word(*reg);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x48 - 0x4F
+****************************************************************************/
+static void x86emuOp_dec_register(u8 op1)
+{
+    START_OF_INSTR();
+    op1 &= 0x7;
+    DECODE_PRINTF("DEC\t");
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        u32 *reg;
+        reg = DECODE_RM_LONG_REGISTER(op1);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *reg = dec_long(*reg);
+    } else {
+        u16 *reg;
+        reg = DECODE_RM_WORD_REGISTER(op1);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *reg = dec_word(*reg);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x50 - 0x57
+****************************************************************************/
+static void x86emuOp_push_register(u8 op1)
+{
+    START_OF_INSTR();
+    op1 &= 0x7;
+    DECODE_PRINTF("PUSH\t");
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        u32 *reg;
+        reg = DECODE_RM_LONG_REGISTER(op1);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        push_long(*reg);
+    } else {
+        u16 *reg;
+        reg = DECODE_RM_WORD_REGISTER(op1);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        push_word(*reg);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x58 - 0x5F
+****************************************************************************/
+static void x86emuOp_pop_register(u8 op1)
+{
+    START_OF_INSTR();
+    op1 &= 0x7;
+    DECODE_PRINTF("POP\t");
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        u32 *reg;
+        reg = DECODE_RM_LONG_REGISTER(op1);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *reg = pop_long();
+    } else {
+        u16 *reg;
+        reg = DECODE_RM_WORD_REGISTER(op1);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *reg = pop_word();
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x60
+****************************************************************************/
+static void x86emuOp_push_all(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("PUSHAD\n");
+    } else {
+        DECODE_PRINTF("PUSHA\n");
+    }
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        u32 old_sp = M.x86.R_ESP;
+
+        push_long(M.x86.R_EAX);
+        push_long(M.x86.R_ECX);
+        push_long(M.x86.R_EDX);
+        push_long(M.x86.R_EBX);
+        push_long(old_sp);
+        push_long(M.x86.R_EBP);
+        push_long(M.x86.R_ESI);
+        push_long(M.x86.R_EDI);
+    } else {
+        u16 old_sp = M.x86.R_SP;
+
+        push_word(M.x86.R_AX);
+        push_word(M.x86.R_CX);
+        push_word(M.x86.R_DX);
+        push_word(M.x86.R_BX);
+        push_word(old_sp);
+        push_word(M.x86.R_BP);
+        push_word(M.x86.R_SI);
+        push_word(M.x86.R_DI);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x61
+****************************************************************************/
+static void x86emuOp_pop_all(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("POPAD\n");
+    } else {
+        DECODE_PRINTF("POPA\n");
+    }
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        M.x86.R_EDI = pop_long();
+        M.x86.R_ESI = pop_long();
+        M.x86.R_EBP = pop_long();
+        M.x86.R_ESP += 4;              /* skip ESP */
+        M.x86.R_EBX = pop_long();
+        M.x86.R_EDX = pop_long();
+        M.x86.R_ECX = pop_long();
+        M.x86.R_EAX = pop_long();
+    } else {
+        M.x86.R_DI = pop_word();
+        M.x86.R_SI = pop_word();
+        M.x86.R_BP = pop_word();
+        M.x86.R_SP += 2;               /* skip SP */
+        M.x86.R_BX = pop_word();
+        M.x86.R_DX = pop_word();
+        M.x86.R_CX = pop_word();
+        M.x86.R_AX = pop_word();
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/*opcode 0x62   ILLEGAL OP, calls x86emuOp_illegal_op() */
+/*opcode 0x63   ILLEGAL OP, calls x86emuOp_illegal_op() */
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x64
+****************************************************************************/
+static void x86emuOp_segovr_FS(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("FS:\n");
+    TRACE_AND_STEP();
+    M.x86.mode |= SYSMODE_SEGOVR_FS;
+    /*
+     * note the lack of DECODE_CLEAR_SEGOVR(r) since, here is one of 4
+     * opcode subroutines we do not want to do this.
+     */
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x65
+****************************************************************************/
+static void x86emuOp_segovr_GS(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("GS:\n");
+    TRACE_AND_STEP();
+    M.x86.mode |= SYSMODE_SEGOVR_GS;
+    /*
+     * note the lack of DECODE_CLEAR_SEGOVR(r) since, here is one of 4
+     * opcode subroutines we do not want to do this.
+     */
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x66 - prefix for 32-bit register
+****************************************************************************/
+static void x86emuOp_prefix_data(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("DATA:\n");
+    TRACE_AND_STEP();
+    M.x86.mode |= SYSMODE_PREFIX_DATA;
+    /* note no DECODE_CLEAR_SEGOVR here. */
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x67 - prefix for 32-bit address
+****************************************************************************/
+static void x86emuOp_prefix_addr(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("ADDR:\n");
+    TRACE_AND_STEP();
+    M.x86.mode |= SYSMODE_PREFIX_ADDR;
+    /* note no DECODE_CLEAR_SEGOVR here. */
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x68
+****************************************************************************/
+static void x86emuOp_push_word_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u32 imm;
+
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        imm = fetch_long_imm();
+    } else {
+        imm = fetch_word_imm();
+    }
+    DECODE_PRINTF2("PUSH\t%x\n", imm);
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        push_long(imm);
+    } else {
+        push_word((u16)imm);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x69
+****************************************************************************/
+static void x86emuOp_imul_word_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("IMUL\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        srcoffset = decode_rmXX_address(mod, rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+            u32 srcval;
+            u32 res_lo,res_hi;
+            s32 imm;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcval = fetch_data_long(srcoffset);
+            imm = fetch_long_imm();
+            DECODE_PRINTF2(",%d\n", (s32)imm);
+            TRACE_AND_STEP();
+            imul_long_direct(&res_lo,&res_hi,(s32)srcval,(s32)imm);
+            if ((((res_lo & 0x80000000) == 0) && (res_hi == 0x00000000)) ||
+                (((res_lo & 0x80000000) != 0) && (res_hi == 0xFFFFFFFF))) {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            } else {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            }
+            *destreg = (u32)res_lo;
+        } else {
+            u16 *destreg;
+            u16 srcval;
+            u32 res;
+            s16 imm;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcval = fetch_data_word(srcoffset);
+            imm = fetch_word_imm();
+            DECODE_PRINTF2(",%d\n", (s32)imm);
+            TRACE_AND_STEP();
+            res = (s16)srcval * (s16)imm;
+            if ((((res & 0x8000) == 0) && ((res >> 16) == 0x0000)) ||
+                (((res & 0x8000) != 0) && ((res >> 16) == 0xFFFF))) {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            } else {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            }
+            *destreg = (u16)res;
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg,*srcreg;
+            u32 res_lo,res_hi;
+            s32 imm;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            imm = fetch_long_imm();
+            DECODE_PRINTF2(",%d\n", (s32)imm);
+            TRACE_AND_STEP();
+            imul_long_direct(&res_lo,&res_hi,(s32)*srcreg,(s32)imm);
+            if ((((res_lo & 0x80000000) == 0) && (res_hi == 0x00000000)) ||
+                (((res_lo & 0x80000000) != 0) && (res_hi == 0xFFFFFFFF))) {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            } else {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            }
+            *destreg = (u32)res_lo;
+        } else {
+            u16 *destreg,*srcreg;
+            u32 res;
+            s16 imm;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            imm = fetch_word_imm();
+            DECODE_PRINTF2(",%d\n", (s32)imm);
+            res = (s16)*srcreg * (s16)imm;
+            if ((((res & 0x8000) == 0) && ((res >> 16) == 0x0000)) ||
+                (((res & 0x8000) != 0) && ((res >> 16) == 0xFFFF))) {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            } else {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            }
+            *destreg = (u16)res;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x6a
+****************************************************************************/
+static void x86emuOp_push_byte_IMM(u8 X86EMU_UNUSED(op1))
+{
+    s16 imm;
+
+    START_OF_INSTR();
+    imm = (s8)fetch_byte_imm();
+    DECODE_PRINTF2("PUSH\t%d\n", imm);
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        push_long(imm);
+    } else {
+        push_word(imm);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x6b
+****************************************************************************/
+static void x86emuOp_imul_byte_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+    s8  imm;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("IMUL\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        srcoffset = decode_rmXX_address(mod, rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+            u32 srcval;
+            u32 res_lo,res_hi;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcval = fetch_data_long(srcoffset);
+            imm = fetch_byte_imm();
+            DECODE_PRINTF2(",%d\n", (s32)imm);
+            TRACE_AND_STEP();
+            imul_long_direct(&res_lo,&res_hi,(s32)srcval,(s32)imm);
+            if ((((res_lo & 0x80000000) == 0) && (res_hi == 0x00000000)) ||
+                (((res_lo & 0x80000000) != 0) && (res_hi == 0xFFFFFFFF))) {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            } else {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            }
+            *destreg = (u32)res_lo;
+        } else {
+            u16 *destreg;
+            u16 srcval;
+            u32 res;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcval = fetch_data_word(srcoffset);
+            imm = fetch_byte_imm();
+            DECODE_PRINTF2(",%d\n", (s32)imm);
+            TRACE_AND_STEP();
+            res = (s16)srcval * (s16)imm;
+            if ((((res & 0x8000) == 0) && ((res >> 16) == 0x0000)) ||
+                (((res & 0x8000) != 0) && ((res >> 16) == 0xFFFF))) {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            } else {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            }
+            *destreg = (u16)res;
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg,*srcreg;
+            u32 res_lo,res_hi;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            imm = fetch_byte_imm();
+            DECODE_PRINTF2(",%d\n", (s32)imm);
+            TRACE_AND_STEP();
+            imul_long_direct(&res_lo,&res_hi,(s32)*srcreg,(s32)imm);
+            if ((((res_lo & 0x80000000) == 0) && (res_hi == 0x00000000)) ||
+                (((res_lo & 0x80000000) != 0) && (res_hi == 0xFFFFFFFF))) {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            } else {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            }
+            *destreg = (u32)res_lo;
+        } else {
+            u16 *destreg,*srcreg;
+            u32 res;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            imm = fetch_byte_imm();
+            DECODE_PRINTF2(",%d\n", (s32)imm);
+            TRACE_AND_STEP();
+            res = (s16)*srcreg * (s16)imm;
+            if ((((res & 0x8000) == 0) && ((res >> 16) == 0x0000)) ||
+                (((res & 0x8000) != 0) && ((res >> 16) == 0xFFFF))) {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            } else {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            }
+            *destreg = (u16)res;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x6c
+****************************************************************************/
+static void x86emuOp_ins_byte(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("INSB\n");
+    ins(1);
+    TRACE_AND_STEP();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x6d
+****************************************************************************/
+static void x86emuOp_ins_word(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("INSD\n");
+        ins(4);
+    } else {
+        DECODE_PRINTF("INSW\n");
+        ins(2);
+    }
+    TRACE_AND_STEP();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x6e
+****************************************************************************/
+static void x86emuOp_outs_byte(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("OUTSB\n");
+    outs(1);
+    TRACE_AND_STEP();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x6f
+****************************************************************************/
+static void x86emuOp_outs_word(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("OUTSD\n");
+        outs(4);
+    } else {
+        DECODE_PRINTF("OUTSW\n");
+        outs(2);
+    }
+    TRACE_AND_STEP();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x70 - 0x7F
+****************************************************************************/
+static void x86emuOp_jump_near_cond(u8 op1)
+{
+    s8 offset;
+    u16 target;
+    int cond;
+
+    /* jump to byte offset if overflow flag is set */
+    START_OF_INSTR();
+    cond = x86emu_check_jump_condition(op1 & 0xF);
+    offset = (s8)fetch_byte_imm();
+    target = (u16)(M.x86.R_IP + (s16)offset);
+    DECODE_PRINTF2("%x\n", target);
+    TRACE_AND_STEP();
+    if (cond) {
+        M.x86.R_IP = target;
+       JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, " NEAR COND ");
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x80
+****************************************************************************/
+static void x86emuOp_opc80_byte_RM_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u8 *destreg;
+    uint destoffset;
+    u8 imm;
+    u8 destval;
+
+    /*
+     * Weirdo special case instruction format.  Part of the opcode
+     * held below in "RH".  Doubly nested case would result, except
+     * that the decoded instruction
+     */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+
+        switch (rh) {
+        case 0:
+            DECODE_PRINTF("ADD\t");
+            break;
+        case 1:
+            DECODE_PRINTF("OR\t");
+            break;
+        case 2:
+            DECODE_PRINTF("ADC\t");
+            break;
+        case 3:
+            DECODE_PRINTF("SBB\t");
+            break;
+        case 4:
+            DECODE_PRINTF("AND\t");
+            break;
+        case 5:
+            DECODE_PRINTF("SUB\t");
+            break;
+        case 6:
+            DECODE_PRINTF("XOR\t");
+            break;
+        case 7:
+            DECODE_PRINTF("CMP\t");
+            break;
+        }
+    }
+#endif
+    /* know operation, decode the mod byte to find the addressing
+       mode. */
+    if (mod < 3) {
+        DECODE_PRINTF("BYTE PTR ");
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",");
+        destval = fetch_data_byte(destoffset);
+        imm = fetch_byte_imm();
+        DECODE_PRINTF2("%x\n", imm);
+        TRACE_AND_STEP();
+        destval = (*genop_byte_operation[rh]) (destval, imm);
+        if (rh != 7)
+            store_data_byte(destoffset, destval);
+    } else {                     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        DECODE_PRINTF(",");
+        imm = fetch_byte_imm();
+        DECODE_PRINTF2("%x\n", imm);
+        TRACE_AND_STEP();
+        *destreg = (*genop_byte_operation[rh]) (*destreg, imm);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x81
+****************************************************************************/
+static void x86emuOp_opc81_word_RM_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    /*
+     * Weirdo special case instruction format.  Part of the opcode
+     * held below in "RH".  Doubly nested case would result, except
+     * that the decoded instruction
+     */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+
+        switch (rh) {
+        case 0:
+            DECODE_PRINTF("ADD\t");
+            break;
+        case 1:
+            DECODE_PRINTF("OR\t");
+            break;
+        case 2:
+            DECODE_PRINTF("ADC\t");
+            break;
+        case 3:
+            DECODE_PRINTF("SBB\t");
+            break;
+        case 4:
+            DECODE_PRINTF("AND\t");
+            break;
+        case 5:
+            DECODE_PRINTF("SUB\t");
+            break;
+        case 6:
+            DECODE_PRINTF("XOR\t");
+            break;
+        case 7:
+            DECODE_PRINTF("CMP\t");
+            break;
+        }
+    }
+#endif
+    /*
+     * Know operation, decode the mod byte to find the addressing
+     * mode.
+     */
+    if (mod < 3) {
+        DECODE_PRINTF("DWORD PTR ");
+        destoffset = decode_rmXX_address(mod, rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval,imm;
+
+            DECODE_PRINTF(",");
+            destval = fetch_data_long(destoffset);
+            imm = fetch_long_imm();
+            DECODE_PRINTF2("%x\n", imm);
+            TRACE_AND_STEP();
+            destval = (*genop_long_operation[rh]) (destval, imm);
+            if (rh != 7)
+                store_data_long(destoffset, destval);
+        } else {
+            u16 destval,imm;
+
+            DECODE_PRINTF(",");
+            destval = fetch_data_word(destoffset);
+            imm = fetch_word_imm();
+            DECODE_PRINTF2("%x\n", imm);
+            TRACE_AND_STEP();
+            destval = (*genop_word_operation[rh]) (destval, imm);
+            if (rh != 7)
+                store_data_word(destoffset, destval);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg, imm;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            imm = fetch_long_imm();
+            DECODE_PRINTF2("%x\n", imm);
+            TRACE_AND_STEP();
+            *destreg = (*genop_long_operation[rh]) (*destreg, imm);
+        } else {
+            u16 *destreg, imm;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            imm = fetch_word_imm();
+            DECODE_PRINTF2("%x\n", imm);
+            TRACE_AND_STEP();
+            *destreg = (*genop_word_operation[rh]) (*destreg, imm);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x82
+****************************************************************************/
+static void x86emuOp_opc82_byte_RM_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u8 *destreg;
+    uint destoffset;
+    u8 imm;
+    u8 destval;
+
+    /*
+     * Weirdo special case instruction format.  Part of the opcode
+     * held below in "RH".  Doubly nested case would result, except
+     * that the decoded instruction Similar to opcode 81, except that
+     * the immediate byte is sign extended to a word length.
+     */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+        switch (rh) {
+        case 0:
+            DECODE_PRINTF("ADD\t");
+            break;
+        case 1:
+            DECODE_PRINTF("OR\t");
+            break;
+        case 2:
+            DECODE_PRINTF("ADC\t");
+            break;
+        case 3:
+            DECODE_PRINTF("SBB\t");
+            break;
+        case 4:
+            DECODE_PRINTF("AND\t");
+            break;
+        case 5:
+            DECODE_PRINTF("SUB\t");
+            break;
+        case 6:
+            DECODE_PRINTF("XOR\t");
+            break;
+        case 7:
+            DECODE_PRINTF("CMP\t");
+            break;
+        }
+    }
+#endif
+    /* know operation, decode the mod byte to find the addressing
+       mode. */
+    if (mod < 3) {
+        DECODE_PRINTF("BYTE PTR ");
+        destoffset = decode_rmXX_address(mod, rl);
+        destval = fetch_data_byte(destoffset);
+        imm = fetch_byte_imm();
+        DECODE_PRINTF2(",%x\n", imm);
+        TRACE_AND_STEP();
+        destval = (*genop_byte_operation[rh]) (destval, imm);
+        if (rh != 7)
+            store_data_byte(destoffset, destval);
+    } else {                     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        imm = fetch_byte_imm();
+        DECODE_PRINTF2(",%x\n", imm);
+        TRACE_AND_STEP();
+        *destreg = (*genop_byte_operation[rh]) (*destreg, imm);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x83
+****************************************************************************/
+static void x86emuOp_opc83_word_RM_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    /*
+     * Weirdo special case instruction format.  Part of the opcode
+     * held below in "RH".  Doubly nested case would result, except
+     * that the decoded instruction Similar to opcode 81, except that
+     * the immediate byte is sign extended to a word length.
+     */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+       switch (rh) {
+        case 0:
+            DECODE_PRINTF("ADD\t");
+            break;
+        case 1:
+            DECODE_PRINTF("OR\t");
+            break;
+        case 2:
+            DECODE_PRINTF("ADC\t");
+            break;
+        case 3:
+            DECODE_PRINTF("SBB\t");
+            break;
+        case 4:
+            DECODE_PRINTF("AND\t");
+            break;
+        case 5:
+            DECODE_PRINTF("SUB\t");
+            break;
+        case 6:
+            DECODE_PRINTF("XOR\t");
+            break;
+        case 7:
+            DECODE_PRINTF("CMP\t");
+            break;
+        }
+    }
+#endif
+    /* know operation, decode the mod byte to find the addressing
+       mode. */
+    if (mod < 3) {
+        DECODE_PRINTF("DWORD PTR ");
+        destoffset = decode_rmXX_address(mod,rl);
+
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval,imm;
+
+            destval = fetch_data_long(destoffset);
+            imm = (s8) fetch_byte_imm();
+            DECODE_PRINTF2(",%x\n", imm);
+            TRACE_AND_STEP();
+            destval = (*genop_long_operation[rh]) (destval, imm);
+            if (rh != 7)
+                store_data_long(destoffset, destval);
+        } else {
+            u16 destval,imm;
+
+            destval = fetch_data_word(destoffset);
+            imm = (s8) fetch_byte_imm();
+            DECODE_PRINTF2(",%x\n", imm);
+            TRACE_AND_STEP();
+            destval = (*genop_word_operation[rh]) (destval, imm);
+            if (rh != 7)
+                store_data_word(destoffset, destval);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg, imm;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            imm = (s8) fetch_byte_imm();
+            DECODE_PRINTF2(",%x\n", imm);
+            TRACE_AND_STEP();
+            *destreg = (*genop_long_operation[rh]) (*destreg, imm);
+        } else {
+            u16 *destreg, imm;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            imm = (s8) fetch_byte_imm();
+            DECODE_PRINTF2(",%x\n", imm);
+            TRACE_AND_STEP();
+            *destreg = (*genop_word_operation[rh]) (*destreg, imm);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x84
+****************************************************************************/
+static void x86emuOp_test_byte_RM_R(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u8 *destreg, *srcreg;
+    uint destoffset;
+    u8 destval;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("TEST\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",");
+        destval = fetch_data_byte(destoffset);
+        srcreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        test_byte(destval, *srcreg);
+    } else {                     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        DECODE_PRINTF(",");
+        srcreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        test_byte(*destreg, *srcreg);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x85
+****************************************************************************/
+static void x86emuOp_test_word_RM_R(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("TEST\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval;
+            u32 *srcreg;
+
+            DECODE_PRINTF(",");
+            destval = fetch_data_long(destoffset);
+            srcreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            test_long(destval, *srcreg);
+        } else {
+            u16 destval;
+            u16 *srcreg;
+
+            DECODE_PRINTF(",");
+            destval = fetch_data_word(destoffset);
+            srcreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            test_word(destval, *srcreg);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg,*srcreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            test_long(*destreg, *srcreg);
+        } else {
+            u16 *destreg,*srcreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            test_word(*destreg, *srcreg);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x86
+****************************************************************************/
+static void x86emuOp_xchg_byte_RM_R(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u8 *destreg, *srcreg;
+    uint destoffset;
+    u8 destval;
+    u8 tmp;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("XCHG\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",");
+        destval = fetch_data_byte(destoffset);
+        srcreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        tmp = *srcreg;
+        *srcreg = destval;
+        destval = tmp;
+        store_data_byte(destoffset, destval);
+    } else {                     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        DECODE_PRINTF(",");
+        srcreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        tmp = *srcreg;
+        *srcreg = *destreg;
+        *destreg = tmp;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x87
+****************************************************************************/
+static void x86emuOp_xchg_word_RM_R(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("XCHG\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",");
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *srcreg;
+            u32 destval,tmp;
+
+            destval = fetch_data_long(destoffset);
+            srcreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            tmp = *srcreg;
+            *srcreg = destval;
+            destval = tmp;
+            store_data_long(destoffset, destval);
+        } else {
+            u16 *srcreg;
+            u16 destval,tmp;
+
+            destval = fetch_data_word(destoffset);
+            srcreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            tmp = *srcreg;
+            *srcreg = destval;
+            destval = tmp;
+            store_data_word(destoffset, destval);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg,*srcreg;
+            u32 tmp;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            tmp = *srcreg;
+            *srcreg = *destreg;
+            *destreg = tmp;
+        } else {
+            u16 *destreg,*srcreg;
+            u16 tmp;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            tmp = *srcreg;
+            *srcreg = *destreg;
+            *destreg = tmp;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x88
+****************************************************************************/
+static void x86emuOp_mov_byte_RM_R(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u8 *destreg, *srcreg;
+    uint destoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",");
+        srcreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        store_data_byte(destoffset, *srcreg);
+    } else {                     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        DECODE_PRINTF(",");
+        srcreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *destreg = *srcreg;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x89
+****************************************************************************/
+static void x86emuOp_mov_word_RM_R(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *srcreg;
+
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            store_data_long(destoffset, *srcreg);
+        } else {
+            u16 *srcreg;
+
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            store_data_word(destoffset, *srcreg);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg,*srcreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = *srcreg;
+        } else {
+            u16 *destreg,*srcreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = *srcreg;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x8a
+****************************************************************************/
+static void x86emuOp_mov_byte_R_RM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u8 *destreg, *srcreg;
+    uint srcoffset;
+    u8 srcval;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcoffset = decode_rmXX_address(mod, rl);
+        srcval = fetch_data_byte(srcoffset);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *destreg = srcval;
+    } else {                     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcreg = DECODE_RM_BYTE_REGISTER(rl);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *destreg = *srcreg;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x8b
+****************************************************************************/
+static void x86emuOp_mov_word_R_RM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+            u32 srcval;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcoffset = decode_rmXX_address(mod, rl);
+            srcval = fetch_data_long(srcoffset);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = srcval;
+        } else {
+            u16 *destreg;
+            u16 srcval;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcoffset = decode_rmXX_address(mod, rl);
+            srcval = fetch_data_word(srcoffset);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = srcval;
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg, *srcreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = *srcreg;
+        } else {
+            u16 *destreg, *srcreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = *srcreg;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x8c
+****************************************************************************/
+static void x86emuOp_mov_word_RM_SR(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u16 *destreg, *srcreg;
+    uint destoffset;
+    u16 destval;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",");
+        srcreg = decode_rm_seg_register(rh);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        destval = *srcreg;
+        store_data_word(destoffset, destval);
+    } else {                     /* register to register */
+        destreg = DECODE_RM_WORD_REGISTER(rl);
+        DECODE_PRINTF(",");
+        srcreg = decode_rm_seg_register(rh);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *destreg = *srcreg;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x8d
+****************************************************************************/
+static void x86emuOp_lea_word_R_M(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u16 *srcreg;
+    uint destoffset;
+
+/*
+ * TODO: Need to handle address size prefix!
+ *
+ * lea  eax,[eax+ebx*2] ??
+ */
+
+    START_OF_INSTR();
+    DECODE_PRINTF("LEA\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        srcreg = DECODE_RM_WORD_REGISTER(rh);
+        DECODE_PRINTF(",");
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *srcreg = (u16)destoffset;
+        }
+    /* } else { undefined.  Do nothing. } */
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x8e
+****************************************************************************/
+static void x86emuOp_mov_word_SR_RM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u16 *destreg, *srcreg;
+    uint srcoffset;
+    u16 srcval;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destreg = decode_rm_seg_register(rh);
+        DECODE_PRINTF(",");
+        srcoffset = decode_rmXX_address(mod, rl);
+        srcval = fetch_data_word(srcoffset);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *destreg = srcval;
+    } else {                     /* register to register */
+        destreg = decode_rm_seg_register(rh);
+        DECODE_PRINTF(",");
+        srcreg = DECODE_RM_WORD_REGISTER(rl);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *destreg = *srcreg;
+    }
+    /*
+     * Clean up, and reset all the R_xSP pointers to the correct
+     * locations.  This is about 3x too much overhead (doing all the
+     * segreg ptrs when only one is needed, but this instruction
+     * *cannot* be that common, and this isn't too much work anyway.
+     */
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x8f
+****************************************************************************/
+static void x86emuOp_pop_RM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("POP\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (rh != 0) {
+        DECODE_PRINTF("ILLEGAL DECODE OF OPCODE 8F\n");
+        HALT_SYS();
+    }
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval;
+
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            destval = pop_long();
+            store_data_long(destoffset, destval);
+        } else {
+            u16 destval;
+
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            destval = pop_word();
+            store_data_word(destoffset, destval);
+        }
+    } else {                    /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = pop_long();
+        } else {
+            u16 *destreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = pop_word();
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x90
+****************************************************************************/
+static void x86emuOp_nop(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("NOP\n");
+    TRACE_AND_STEP();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x91-0x97
+****************************************************************************/
+static void x86emuOp_xchg_word_AX_register(u8 X86EMU_UNUSED(op1))
+{
+    u32 tmp;
+
+    op1 &= 0x7;
+
+    START_OF_INSTR();
+
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        u32 *reg32;
+        DECODE_PRINTF("XCHG\tEAX,");
+        reg32 = DECODE_RM_LONG_REGISTER(op1);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        tmp = M.x86.R_EAX;
+        M.x86.R_EAX = *reg32;
+        *reg32 = tmp;
+    } else {
+        u16 *reg16;
+        DECODE_PRINTF("XCHG\tAX,");
+        reg16 = DECODE_RM_WORD_REGISTER(op1);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        tmp = M.x86.R_AX;
+        M.x86.R_AX = *reg16;
+        *reg16 = (u16)tmp;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x98
+****************************************************************************/
+static void x86emuOp_cbw(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("CWDE\n");
+    } else {
+        DECODE_PRINTF("CBW\n");
+    }
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        if (M.x86.R_AX & 0x8000) {
+            M.x86.R_EAX |= 0xffff0000;
+        } else {
+            M.x86.R_EAX &= 0x0000ffff;
+        }
+    } else {
+        if (M.x86.R_AL & 0x80) {
+            M.x86.R_AH = 0xff;
+        } else {
+            M.x86.R_AH = 0x0;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x99
+****************************************************************************/
+static void x86emuOp_cwd(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("CDQ\n");
+    } else {
+        DECODE_PRINTF("CWD\n");
+    }
+    DECODE_PRINTF("CWD\n");
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        if (M.x86.R_EAX & 0x80000000) {
+            M.x86.R_EDX = 0xffffffff;
+        } else {
+            M.x86.R_EDX = 0x0;
+        }
+    } else {
+        if (M.x86.R_AX & 0x8000) {
+            M.x86.R_DX = 0xffff;
+        } else {
+            M.x86.R_DX = 0x0;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x9a
+****************************************************************************/
+static void x86emuOp_call_far_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u16 farseg, faroff;
+
+    START_OF_INSTR();
+       DECODE_PRINTF("CALL\t");
+       faroff = fetch_word_imm();
+       farseg = fetch_word_imm();
+       DECODE_PRINTF2("%04x:", farseg);
+       DECODE_PRINTF2("%04x\n", faroff);
+       CALL_TRACE(M.x86.saved_cs, M.x86.saved_ip, farseg, faroff, "FAR ");
+
+    /* XXX
+     *
+     * Hooked interrupt vectors calling into our "BIOS" will cause
+     * problems unless all intersegment stuff is checked for BIOS
+     * access.  Check needed here.  For moment, let it alone.
+     */
+    TRACE_AND_STEP();
+    push_word(M.x86.R_CS);
+    M.x86.R_CS = farseg;
+    push_word(M.x86.R_IP);
+    M.x86.R_IP = faroff;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x9b
+****************************************************************************/
+static void x86emuOp_wait(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("WAIT");
+    TRACE_AND_STEP();
+    /* NADA.  */
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x9c
+****************************************************************************/
+static void x86emuOp_pushf_word(u8 X86EMU_UNUSED(op1))
+{
+    u32 flags;
+
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("PUSHFD\n");
+    } else {
+        DECODE_PRINTF("PUSHF\n");
+    }
+    TRACE_AND_STEP();
+
+    /* clear out *all* bits not representing flags, and turn on real bits */
+    flags = (M.x86.R_EFLG & F_MSK) | F_ALWAYS_ON;
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        push_long(flags);
+    } else {
+        push_word((u16)flags);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x9d
+****************************************************************************/
+static void x86emuOp_popf_word(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("POPFD\n");
+    } else {
+        DECODE_PRINTF("POPF\n");
+    }
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        M.x86.R_EFLG = pop_long();
+    } else {
+        M.x86.R_FLG = pop_word();
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x9e
+****************************************************************************/
+static void x86emuOp_sahf(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("SAHF\n");
+    TRACE_AND_STEP();
+    /* clear the lower bits of the flag register */
+    M.x86.R_FLG &= 0xffffff00;
+    /* or in the AH register into the flags register */
+    M.x86.R_FLG |= M.x86.R_AH;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x9f
+****************************************************************************/
+static void x86emuOp_lahf(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("LAHF\n");
+    TRACE_AND_STEP();
+       M.x86.R_AH = (u8)(M.x86.R_FLG & 0xff);
+    /*undocumented TC++ behavior??? Nope.  It's documented, but
+       you have too look real hard to notice it. */
+    M.x86.R_AH |= 0x2;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xa0
+****************************************************************************/
+static void x86emuOp_mov_AL_M_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u16 offset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\tAL,");
+    offset = fetch_word_imm();
+    DECODE_PRINTF2("[%04x]\n", offset);
+    TRACE_AND_STEP();
+    M.x86.R_AL = fetch_data_byte(offset);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xa1
+****************************************************************************/
+static void x86emuOp_mov_AX_M_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u16 offset;
+
+    START_OF_INSTR();
+    offset = fetch_word_imm();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF2("MOV\tEAX,[%04x]\n", offset);
+    } else {
+        DECODE_PRINTF2("MOV\tAX,[%04x]\n", offset);
+    }
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        M.x86.R_EAX = fetch_data_long(offset);
+    } else {
+        M.x86.R_AX = fetch_data_word(offset);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xa2
+****************************************************************************/
+static void x86emuOp_mov_M_AL_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u16 offset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\t");
+    offset = fetch_word_imm();
+    DECODE_PRINTF2("[%04x],AL\n", offset);
+    TRACE_AND_STEP();
+    store_data_byte(offset, M.x86.R_AL);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xa3
+****************************************************************************/
+static void x86emuOp_mov_M_AX_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u16 offset;
+
+    START_OF_INSTR();
+    offset = fetch_word_imm();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF2("MOV\t[%04x],EAX\n", offset);
+    } else {
+        DECODE_PRINTF2("MOV\t[%04x],AX\n", offset);
+    }
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        store_data_long(offset, M.x86.R_EAX);
+    } else {
+        store_data_word(offset, M.x86.R_AX);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xa4
+****************************************************************************/
+static void x86emuOp_movs_byte(u8 X86EMU_UNUSED(op1))
+{
+    u8  val;
+    u32 count;
+    int inc;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOVS\tBYTE\n");
+    if (ACCESS_FLAG(F_DF))   /* down */
+        inc = -1;
+    else
+        inc = 1;
+    TRACE_AND_STEP();
+    count = 1;
+    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
+        /* dont care whether REPE or REPNE */
+        /* move them until (E)CX is ZERO. */
+        count = (M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX;
+        M.x86.R_CX = 0;
+       if (M.x86.mode & SYSMODE_32BIT_REP)
+            M.x86.R_ECX = 0;
+        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
+    }
+    while (count--) {
+        val = fetch_data_byte(M.x86.R_SI);
+        store_data_byte_abs(M.x86.R_ES, M.x86.R_DI, val);
+        M.x86.R_SI += inc;
+        M.x86.R_DI += inc;
+        if (M.x86.intr & INTR_HALTED)
+            break;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xa5
+****************************************************************************/
+static void x86emuOp_movs_word(u8 X86EMU_UNUSED(op1))
+{
+    u32 val;
+    int inc;
+    u32 count;
+
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("MOVS\tDWORD\n");
+        if (ACCESS_FLAG(F_DF))      /* down */
+            inc = -4;
+        else
+            inc = 4;
+    } else {
+        DECODE_PRINTF("MOVS\tWORD\n");
+        if (ACCESS_FLAG(F_DF))      /* down */
+            inc = -2;
+        else
+            inc = 2;
+    }
+    TRACE_AND_STEP();
+    count = 1;
+    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
+        /* dont care whether REPE or REPNE */
+        /* move them until (E)CX is ZERO. */
+        count = (M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX;
+        M.x86.R_CX = 0;
+       if (M.x86.mode & SYSMODE_32BIT_REP)
+            M.x86.R_ECX = 0;
+        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
+    }
+    while (count--) {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            val = fetch_data_long(M.x86.R_SI);
+            store_data_long_abs(M.x86.R_ES, M.x86.R_DI, val);
+        } else {
+            val = fetch_data_word(M.x86.R_SI);
+            store_data_word_abs(M.x86.R_ES, M.x86.R_DI, (u16)val);
+        }
+        M.x86.R_SI += inc;
+        M.x86.R_DI += inc;
+        if (M.x86.intr & INTR_HALTED)
+            break;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xa6
+****************************************************************************/
+static void x86emuOp_cmps_byte(u8 X86EMU_UNUSED(op1))
+{
+    s8 val1, val2;
+    int inc;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("CMPS\tBYTE\n");
+    TRACE_AND_STEP();
+    if (ACCESS_FLAG(F_DF))   /* down */
+        inc = -1;
+    else
+        inc = 1;
+
+    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
+        /* REPE  */
+        /* move them until (E)CX is ZERO. */
+        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
+            val1 = fetch_data_byte(M.x86.R_SI);
+            val2 = fetch_data_byte_abs(M.x86.R_ES, M.x86.R_DI);
+                     cmp_byte(val1, val2);
+            if (M.x86.mode & SYSMODE_32BIT_REP)
+                M.x86.R_ECX -= 1;
+            else
+                M.x86.R_CX -= 1;
+            M.x86.R_SI += inc;
+            M.x86.R_DI += inc;
+            if ( (M.x86.mode & SYSMODE_PREFIX_REPE) && (ACCESS_FLAG(F_ZF) == 0) ) break;
+            if ( (M.x86.mode & SYSMODE_PREFIX_REPNE) && ACCESS_FLAG(F_ZF) ) break;
+            if (M.x86.intr & INTR_HALTED)
+                break;
+        }
+        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
+    } else {
+        val1 = fetch_data_byte(M.x86.R_SI);
+        val2 = fetch_data_byte_abs(M.x86.R_ES, M.x86.R_DI);
+        cmp_byte(val1, val2);
+        M.x86.R_SI += inc;
+        M.x86.R_DI += inc;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xa7
+****************************************************************************/
+static void x86emuOp_cmps_word(u8 X86EMU_UNUSED(op1))
+{
+    u32 val1,val2;
+    int inc;
+
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("CMPS\tDWORD\n");
+        inc = 4;
+    } else {
+        DECODE_PRINTF("CMPS\tWORD\n");
+        inc = 2;
+    }
+    if (ACCESS_FLAG(F_DF))   /* down */
+        inc = -inc;
+
+    TRACE_AND_STEP();
+    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
+        /* REPE  */
+        /* move them until (E)CX is ZERO. */
+        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
+            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                val1 = fetch_data_long(M.x86.R_SI);
+                val2 = fetch_data_long_abs(M.x86.R_ES, M.x86.R_DI);
+                cmp_long(val1, val2);
+            } else {
+                val1 = fetch_data_word(M.x86.R_SI);
+                val2 = fetch_data_word_abs(M.x86.R_ES, M.x86.R_DI);
+                cmp_word((u16)val1, (u16)val2);
+            }
+            if (M.x86.mode & SYSMODE_32BIT_REP)
+                M.x86.R_ECX -= 1;
+            else
+                M.x86.R_CX -= 1;
+            M.x86.R_SI += inc;
+            M.x86.R_DI += inc;
+            if ( (M.x86.mode & SYSMODE_PREFIX_REPE) && ACCESS_FLAG(F_ZF) == 0 ) break;
+            if ( (M.x86.mode & SYSMODE_PREFIX_REPNE) && ACCESS_FLAG(F_ZF) ) break;
+            if (M.x86.intr & INTR_HALTED)
+                break;
+        }
+        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
+    } else {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            val1 = fetch_data_long(M.x86.R_SI);
+            val2 = fetch_data_long_abs(M.x86.R_ES, M.x86.R_DI);
+            cmp_long(val1, val2);
+        } else {
+            val1 = fetch_data_word(M.x86.R_SI);
+            val2 = fetch_data_word_abs(M.x86.R_ES, M.x86.R_DI);
+            cmp_word((u16)val1, (u16)val2);
+        }
+        M.x86.R_SI += inc;
+        M.x86.R_DI += inc;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xa8
+****************************************************************************/
+static void x86emuOp_test_AL_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int imm;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("TEST\tAL,");
+    imm = fetch_byte_imm();
+    DECODE_PRINTF2("%04x\n", imm);
+    TRACE_AND_STEP();
+       test_byte(M.x86.R_AL, (u8)imm);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xa9
+****************************************************************************/
+static void x86emuOp_test_AX_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u32 srcval;
+
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("TEST\tEAX,");
+        srcval = fetch_long_imm();
+    } else {
+        DECODE_PRINTF("TEST\tAX,");
+        srcval = fetch_word_imm();
+    }
+    DECODE_PRINTF2("%x\n", srcval);
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        test_long(M.x86.R_EAX, srcval);
+    } else {
+        test_word(M.x86.R_AX, (u16)srcval);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xaa
+****************************************************************************/
+static void x86emuOp_stos_byte(u8 X86EMU_UNUSED(op1))
+{
+    int inc;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("STOS\tBYTE\n");
+    if (ACCESS_FLAG(F_DF))   /* down */
+        inc = -1;
+    else
+        inc = 1;
+    TRACE_AND_STEP();
+    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
+        /* dont care whether REPE or REPNE */
+        /* move them until (E)CX is ZERO. */
+        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
+            store_data_byte_abs(M.x86.R_ES, M.x86.R_DI, M.x86.R_AL);
+            if (M.x86.mode & SYSMODE_32BIT_REP)
+                M.x86.R_ECX -= 1;
+            else
+                M.x86.R_CX -= 1;
+            M.x86.R_DI += inc;
+            if (M.x86.intr & INTR_HALTED)
+                break;
+        }
+        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
+    } else {
+        store_data_byte_abs(M.x86.R_ES, M.x86.R_DI, M.x86.R_AL);
+        M.x86.R_DI += inc;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xab
+****************************************************************************/
+static void x86emuOp_stos_word(u8 X86EMU_UNUSED(op1))
+{
+    int inc;
+    u32 count;
+
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("STOS\tDWORD\n");
+        if (ACCESS_FLAG(F_DF))   /* down */
+            inc = -4;
+        else
+            inc = 4;
+    } else {
+        DECODE_PRINTF("STOS\tWORD\n");
+        if (ACCESS_FLAG(F_DF))   /* down */
+            inc = -2;
+        else
+            inc = 2;
+    }
+    TRACE_AND_STEP();
+    count = 1;
+    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
+        /* dont care whether REPE or REPNE */
+        /* move them until (E)CX is ZERO. */
+        count = (M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX;
+        M.x86.R_CX = 0;
+       if (M.x86.mode & SYSMODE_32BIT_REP)
+            M.x86.R_ECX = 0;
+        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
+    }
+    while (count--) {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            store_data_long_abs(M.x86.R_ES, M.x86.R_DI, M.x86.R_EAX);
+        } else {
+            store_data_word_abs(M.x86.R_ES, M.x86.R_DI, M.x86.R_AX);
+        }
+        M.x86.R_DI += inc;
+        if (M.x86.intr & INTR_HALTED)
+            break;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xac
+****************************************************************************/
+static void x86emuOp_lods_byte(u8 X86EMU_UNUSED(op1))
+{
+    int inc;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("LODS\tBYTE\n");
+    TRACE_AND_STEP();
+    if (ACCESS_FLAG(F_DF))   /* down */
+        inc = -1;
+    else
+        inc = 1;
+    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
+        /* dont care whether REPE or REPNE */
+        /* move them until (E)CX is ZERO. */
+        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
+            M.x86.R_AL = fetch_data_byte(M.x86.R_SI);
+            if (M.x86.mode & SYSMODE_32BIT_REP)
+                M.x86.R_ECX -= 1;
+            else
+                M.x86.R_CX -= 1;
+            M.x86.R_SI += inc;
+            if (M.x86.intr & INTR_HALTED)
+                break;
+        }
+        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
+    } else {
+        M.x86.R_AL = fetch_data_byte(M.x86.R_SI);
+        M.x86.R_SI += inc;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xad
+****************************************************************************/
+static void x86emuOp_lods_word(u8 X86EMU_UNUSED(op1))
+{
+    int inc;
+    u32 count;
+
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("LODS\tDWORD\n");
+        if (ACCESS_FLAG(F_DF))   /* down */
+            inc = -4;
+        else
+            inc = 4;
+    } else {
+        DECODE_PRINTF("LODS\tWORD\n");
+        if (ACCESS_FLAG(F_DF))   /* down */
+            inc = -2;
+        else
+            inc = 2;
+    }
+    TRACE_AND_STEP();
+    count = 1;
+    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
+        /* dont care whether REPE or REPNE */
+        /* move them until (E)CX is ZERO. */
+        count = (M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX;
+        M.x86.R_CX = 0;
+       if (M.x86.mode & SYSMODE_32BIT_REP)
+            M.x86.R_ECX = 0;
+        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
+    }
+    while (count--) {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            M.x86.R_EAX = fetch_data_long(M.x86.R_SI);
+        } else {
+            M.x86.R_AX = fetch_data_word(M.x86.R_SI);
+        }
+        M.x86.R_SI += inc;
+        if (M.x86.intr & INTR_HALTED)
+            break;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xae
+****************************************************************************/
+static void x86emuOp_scas_byte(u8 X86EMU_UNUSED(op1))
+{
+    s8 val2;
+    int inc;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("SCAS\tBYTE\n");
+    TRACE_AND_STEP();
+    if (ACCESS_FLAG(F_DF))   /* down */
+        inc = -1;
+    else
+        inc = 1;
+    if (M.x86.mode & SYSMODE_PREFIX_REPE) {
+        /* REPE  */
+        /* move them until (E)CX is ZERO. */
+        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
+            val2 = fetch_data_byte_abs(M.x86.R_ES, M.x86.R_DI);
+            cmp_byte(M.x86.R_AL, val2);
+            if (M.x86.mode & SYSMODE_32BIT_REP)
+                M.x86.R_ECX -= 1;
+            else
+                M.x86.R_CX -= 1;
+            M.x86.R_DI += inc;
+            if (ACCESS_FLAG(F_ZF) == 0)
+                break;
+            if (M.x86.intr & INTR_HALTED)
+                break;
+        }
+        M.x86.mode &= ~SYSMODE_PREFIX_REPE;
+    } else if (M.x86.mode & SYSMODE_PREFIX_REPNE) {
+        /* REPNE  */
+        /* move them until (E)CX is ZERO. */
+        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
+            val2 = fetch_data_byte_abs(M.x86.R_ES, M.x86.R_DI);
+            cmp_byte(M.x86.R_AL, val2);
+            if (M.x86.mode & SYSMODE_32BIT_REP)
+                M.x86.R_ECX -= 1;
+            else
+                M.x86.R_CX -= 1;
+            M.x86.R_DI += inc;
+            if (ACCESS_FLAG(F_ZF))
+                break;          /* zero flag set means equal */
+            if (M.x86.intr & INTR_HALTED)
+                break;
+        }
+        M.x86.mode &= ~SYSMODE_PREFIX_REPNE;
+    } else {
+        val2 = fetch_data_byte_abs(M.x86.R_ES, M.x86.R_DI);
+        cmp_byte(M.x86.R_AL, val2);
+        M.x86.R_DI += inc;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xaf
+****************************************************************************/
+static void x86emuOp_scas_word(u8 X86EMU_UNUSED(op1))
+{
+    int inc;
+    u32 val;
+
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("SCAS\tDWORD\n");
+        if (ACCESS_FLAG(F_DF))   /* down */
+            inc = -4;
+        else
+            inc = 4;
+    } else {
+        DECODE_PRINTF("SCAS\tWORD\n");
+        if (ACCESS_FLAG(F_DF))   /* down */
+            inc = -2;
+        else
+            inc = 2;
+    }
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_REPE) {
+        /* REPE  */
+        /* move them until (E)CX is ZERO. */
+        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
+            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                val = fetch_data_long_abs(M.x86.R_ES, M.x86.R_DI);
+                cmp_long(M.x86.R_EAX, val);
+            } else {
+                val = fetch_data_word_abs(M.x86.R_ES, M.x86.R_DI);
+                cmp_word(M.x86.R_AX, (u16)val);
+            }
+            if (M.x86.mode & SYSMODE_32BIT_REP)
+                M.x86.R_ECX -= 1;
+            else
+                M.x86.R_CX -= 1;
+            M.x86.R_DI += inc;
+            if (ACCESS_FLAG(F_ZF) == 0)
+                break;
+            if (M.x86.intr & INTR_HALTED)
+                break;
+        }
+        M.x86.mode &= ~SYSMODE_PREFIX_REPE;
+    } else if (M.x86.mode & SYSMODE_PREFIX_REPNE) {
+        /* REPNE  */
+        /* move them until (E)CX is ZERO. */
+        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
+            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                val = fetch_data_long_abs(M.x86.R_ES, M.x86.R_DI);
+                cmp_long(M.x86.R_EAX, val);
+            } else {
+                val = fetch_data_word_abs(M.x86.R_ES, M.x86.R_DI);
+                cmp_word(M.x86.R_AX, (u16)val);
+            }
+            if (M.x86.mode & SYSMODE_32BIT_REP)
+                M.x86.R_ECX -= 1;
+            else
+                M.x86.R_CX -= 1;
+            M.x86.R_DI += inc;
+            if (ACCESS_FLAG(F_ZF))
+                break;          /* zero flag set means equal */
+            if (M.x86.intr & INTR_HALTED)
+                break;
+        }
+        M.x86.mode &= ~SYSMODE_PREFIX_REPNE;
+    } else {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            val = fetch_data_long_abs(M.x86.R_ES, M.x86.R_DI);
+            cmp_long(M.x86.R_EAX, val);
+        } else {
+            val = fetch_data_word_abs(M.x86.R_ES, M.x86.R_DI);
+            cmp_word(M.x86.R_AX, (u16)val);
+        }
+        M.x86.R_DI += inc;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xb0 - 0xb7
+****************************************************************************/
+static void x86emuOp_mov_byte_register_IMM(u8 op1)
+{
+    u8 imm, *ptr;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\t");
+    ptr = DECODE_RM_BYTE_REGISTER(op1 & 0x7);
+    DECODE_PRINTF(",");
+    imm = fetch_byte_imm();
+    DECODE_PRINTF2("%x\n", imm);
+    TRACE_AND_STEP();
+    *ptr = imm;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xb8 - 0xbf
+****************************************************************************/
+static void x86emuOp_mov_word_register_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u32 srcval;
+
+    op1 &= 0x7;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\t");
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        u32 *reg32;
+        reg32 = DECODE_RM_LONG_REGISTER(op1);
+        srcval = fetch_long_imm();
+        DECODE_PRINTF2(",%x\n", srcval);
+        TRACE_AND_STEP();
+        *reg32 = srcval;
+    } else {
+        u16 *reg16;
+        reg16 = DECODE_RM_WORD_REGISTER(op1);
+        srcval = fetch_word_imm();
+        DECODE_PRINTF2(",%x\n", srcval);
+        TRACE_AND_STEP();
+        *reg16 = (u16)srcval;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xc0
+****************************************************************************/
+static void x86emuOp_opcC0_byte_RM_MEM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u8 *destreg;
+    uint destoffset;
+    u8 destval;
+    u8 amt;
+
+    /*
+     * Yet another weirdo special case instruction format.  Part of
+     * the opcode held below in "RH".  Doubly nested case would
+     * result, except that the decoded instruction
+     */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+
+        switch (rh) {
+        case 0:
+            DECODE_PRINTF("ROL\t");
+            break;
+        case 1:
+            DECODE_PRINTF("ROR\t");
+            break;
+        case 2:
+            DECODE_PRINTF("RCL\t");
+            break;
+        case 3:
+            DECODE_PRINTF("RCR\t");
+            break;
+        case 4:
+            DECODE_PRINTF("SHL\t");
+            break;
+        case 5:
+            DECODE_PRINTF("SHR\t");
+            break;
+        case 6:
+            DECODE_PRINTF("SAL\t");
+            break;
+        case 7:
+            DECODE_PRINTF("SAR\t");
+            break;
+        }
+    }
+#endif
+    /* know operation, decode the mod byte to find the addressing
+       mode. */
+    if (mod < 3) {
+        DECODE_PRINTF("BYTE PTR ");
+        destoffset = decode_rmXX_address(mod, rl);
+        amt = fetch_byte_imm();
+        DECODE_PRINTF2(",%x\n", amt);
+        destval = fetch_data_byte(destoffset);
+        TRACE_AND_STEP();
+        destval = (*opcD0_byte_operation[rh]) (destval, amt);
+        store_data_byte(destoffset, destval);
+    } else {                     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        amt = fetch_byte_imm();
+        DECODE_PRINTF2(",%x\n", amt);
+        TRACE_AND_STEP();
+        destval = (*opcD0_byte_operation[rh]) (*destreg, amt);
+        *destreg = destval;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xc1
+****************************************************************************/
+static void x86emuOp_opcC1_word_RM_MEM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8 amt;
+
+    /*
+     * Yet another weirdo special case instruction format.  Part of
+     * the opcode held below in "RH".  Doubly nested case would
+     * result, except that the decoded instruction
+     */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+
+        switch (rh) {
+        case 0:
+            DECODE_PRINTF("ROL\t");
+            break;
+        case 1:
+            DECODE_PRINTF("ROR\t");
+            break;
+        case 2:
+            DECODE_PRINTF("RCL\t");
+            break;
+        case 3:
+            DECODE_PRINTF("RCR\t");
+            break;
+        case 4:
+            DECODE_PRINTF("SHL\t");
+            break;
+        case 5:
+            DECODE_PRINTF("SHR\t");
+            break;
+        case 6:
+            DECODE_PRINTF("SAL\t");
+            break;
+        case 7:
+            DECODE_PRINTF("SAR\t");
+            break;
+        }
+    }
+#endif
+    /* know operation, decode the mod byte to find the addressing
+       mode. */
+    if (mod < 3) {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval;
+
+            DECODE_PRINTF("DWORD PTR ");
+            destoffset = decode_rmXX_address(mod, rl);
+            amt = fetch_byte_imm();
+            DECODE_PRINTF2(",%x\n", amt);
+            destval = fetch_data_long(destoffset);
+            TRACE_AND_STEP();
+            destval = (*opcD1_long_operation[rh]) (destval, amt);
+            store_data_long(destoffset, destval);
+        } else {
+            u16 destval;
+
+            DECODE_PRINTF("WORD PTR ");
+            destoffset = decode_rmXX_address(mod, rl);
+            amt = fetch_byte_imm();
+            DECODE_PRINTF2(",%x\n", amt);
+            destval = fetch_data_word(destoffset);
+            TRACE_AND_STEP();
+            destval = (*opcD1_word_operation[rh]) (destval, amt);
+            store_data_word(destoffset, destval);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            amt = fetch_byte_imm();
+            DECODE_PRINTF2(",%x\n", amt);
+            TRACE_AND_STEP();
+            *destreg = (*opcD1_long_operation[rh]) (*destreg, amt);
+        } else {
+            u16 *destreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            amt = fetch_byte_imm();
+            DECODE_PRINTF2(",%x\n", amt);
+            TRACE_AND_STEP();
+            *destreg = (*opcD1_word_operation[rh]) (*destreg, amt);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xc2
+****************************************************************************/
+static void x86emuOp_ret_near_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u16 imm;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("RET\t");
+    imm = fetch_word_imm();
+    DECODE_PRINTF2("%x\n", imm);
+       TRACE_AND_STEP();
+    M.x86.R_IP = pop_word();
+       RETURN_TRACE(M.x86.saved_cs,M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, "NEAR");
+    M.x86.R_SP += imm;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xc3
+****************************************************************************/
+static void x86emuOp_ret_near(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("RET\n");
+       TRACE_AND_STEP();
+    M.x86.R_IP = pop_word();
+       RETURN_TRACE(M.x86.saved_cs,M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, "NEAR");
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xc4
+****************************************************************************/
+static void x86emuOp_les_R_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rh, rl;
+    u16 *dstreg;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("LES\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        dstreg = DECODE_RM_WORD_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *dstreg = fetch_data_word(srcoffset);
+        M.x86.R_ES = fetch_data_word(srcoffset + 2);
+    }
+    /* else UNDEFINED!                   register to register */
+
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xc5
+****************************************************************************/
+static void x86emuOp_lds_R_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rh, rl;
+    u16 *dstreg;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("LDS\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        dstreg = DECODE_RM_WORD_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *dstreg = fetch_data_word(srcoffset);
+        M.x86.R_DS = fetch_data_word(srcoffset + 2);
+    }
+    /* else UNDEFINED! */
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xc6
+****************************************************************************/
+static void x86emuOp_mov_byte_RM_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u8 *destreg;
+    uint destoffset;
+    u8 imm;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (rh != 0) {
+        DECODE_PRINTF("ILLEGAL DECODE OF OPCODE c6\n");
+        HALT_SYS();
+    }
+    if (mod < 3) {
+        DECODE_PRINTF("BYTE PTR ");
+        destoffset = decode_rmXX_address(mod, rl);
+        imm = fetch_byte_imm();
+        DECODE_PRINTF2(",%2x\n", imm);
+        TRACE_AND_STEP();
+        store_data_byte(destoffset, imm);
+    } else {                     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        imm = fetch_byte_imm();
+        DECODE_PRINTF2(",%2x\n", imm);
+        TRACE_AND_STEP();
+        *destreg = imm;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xc7
+****************************************************************************/
+static void x86emuOp_mov_word_RM_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOV\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (rh != 0) {
+        DECODE_PRINTF("ILLEGAL DECODE OF OPCODE 8F\n");
+        HALT_SYS();
+    }
+    if (mod < 3) {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 imm;
+
+            DECODE_PRINTF("DWORD PTR ");
+            destoffset = decode_rmXX_address(mod, rl);
+            imm = fetch_long_imm();
+            DECODE_PRINTF2(",%x\n", imm);
+            TRACE_AND_STEP();
+            store_data_long(destoffset, imm);
+        } else {
+            u16 imm;
+
+            DECODE_PRINTF("WORD PTR ");
+            destoffset = decode_rmXX_address(mod, rl);
+            imm = fetch_word_imm();
+            DECODE_PRINTF2(",%x\n", imm);
+            TRACE_AND_STEP();
+            store_data_word(destoffset, imm);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                       u32 *destreg;
+                       u32 imm;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            imm = fetch_long_imm();
+            DECODE_PRINTF2(",%x\n", imm);
+            TRACE_AND_STEP();
+            *destreg = imm;
+        } else {
+                       u16 *destreg;
+                       u16 imm;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            imm = fetch_word_imm();
+            DECODE_PRINTF2(",%x\n", imm);
+            TRACE_AND_STEP();
+            *destreg = imm;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xc8
+****************************************************************************/
+static void x86emuOp_enter(u8 X86EMU_UNUSED(op1))
+{
+    u16 local,frame_pointer;
+    u8  nesting;
+    int i;
+
+    START_OF_INSTR();
+    local = fetch_word_imm();
+    nesting = fetch_byte_imm();
+    DECODE_PRINTF2("ENTER %x\n", local);
+    DECODE_PRINTF2(",%x\n", nesting);
+    TRACE_AND_STEP();
+    push_word(M.x86.R_BP);
+    frame_pointer = M.x86.R_SP;
+    if (nesting > 0) {
+        for (i = 1; i < nesting; i++) {
+            M.x86.R_BP -= 2;
+            push_word(fetch_data_word_abs(M.x86.R_SS, M.x86.R_BP));
+            }
+        push_word(frame_pointer);
+        }
+    M.x86.R_BP = frame_pointer;
+    M.x86.R_SP = (u16)(M.x86.R_SP - local);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xc9
+****************************************************************************/
+static void x86emuOp_leave(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("LEAVE\n");
+    TRACE_AND_STEP();
+    M.x86.R_SP = M.x86.R_BP;
+    M.x86.R_BP = pop_word();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xca
+****************************************************************************/
+static void x86emuOp_ret_far_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u16 imm;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("RETF\t");
+    imm = fetch_word_imm();
+    DECODE_PRINTF2("%x\n", imm);
+       TRACE_AND_STEP();
+    M.x86.R_IP = pop_word();
+    M.x86.R_CS = pop_word();
+       RETURN_TRACE(M.x86.saved_cs,M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, "FAR");
+    M.x86.R_SP += imm;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xcb
+****************************************************************************/
+static void x86emuOp_ret_far(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("RETF\n");
+       TRACE_AND_STEP();
+    M.x86.R_IP = pop_word();
+    M.x86.R_CS = pop_word();
+       RETURN_TRACE(M.x86.saved_cs,M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, "FAR");
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xcc
+****************************************************************************/
+static void x86emuOp_int3(u8 X86EMU_UNUSED(op1))
+{
+    u16 tmp;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("INT 3\n");
+    tmp = (u16) mem_access_word(3 * 4 + 2);
+    /* access the segment register */
+    TRACE_AND_STEP();
+       if (_X86EMU_intrTab[3]) {
+               (*_X86EMU_intrTab[3])(3);
+    } else {
+        push_word((u16)M.x86.R_FLG);
+        CLEAR_FLAG(F_IF);
+        CLEAR_FLAG(F_TF);
+        push_word(M.x86.R_CS);
+        M.x86.R_CS = mem_access_word(3 * 4 + 2);
+        push_word(M.x86.R_IP);
+        M.x86.R_IP = mem_access_word(3 * 4);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xcd
+****************************************************************************/
+static void x86emuOp_int_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u16 tmp;
+    u8 intnum;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("INT\t");
+    intnum = fetch_byte_imm();
+    DECODE_PRINTF2("%x\n", intnum);
+    tmp = mem_access_word(intnum * 4 + 2);
+    TRACE_AND_STEP();
+       if (_X86EMU_intrTab[intnum]) {
+               (*_X86EMU_intrTab[intnum])(intnum);
+    } else {
+        push_word((u16)M.x86.R_FLG);
+        CLEAR_FLAG(F_IF);
+        CLEAR_FLAG(F_TF);
+        push_word(M.x86.R_CS);
+        M.x86.R_CS = mem_access_word(intnum * 4 + 2);
+        push_word(M.x86.R_IP);
+        M.x86.R_IP = mem_access_word(intnum * 4);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xce
+****************************************************************************/
+static void x86emuOp_into(u8 X86EMU_UNUSED(op1))
+{
+    u16 tmp;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("INTO\n");
+    TRACE_AND_STEP();
+    if (ACCESS_FLAG(F_OF)) {
+        tmp = mem_access_word(4 * 4 + 2);
+               if (_X86EMU_intrTab[4]) {
+                       (*_X86EMU_intrTab[4])(4);
+        } else {
+            push_word((u16)M.x86.R_FLG);
+            CLEAR_FLAG(F_IF);
+            CLEAR_FLAG(F_TF);
+            push_word(M.x86.R_CS);
+            M.x86.R_CS = mem_access_word(4 * 4 + 2);
+            push_word(M.x86.R_IP);
+            M.x86.R_IP = mem_access_word(4 * 4);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xcf
+****************************************************************************/
+static void x86emuOp_iret(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("IRET\n");
+
+    TRACE_AND_STEP();
+
+    M.x86.R_IP = pop_word();
+    M.x86.R_CS = pop_word();
+    M.x86.R_FLG = pop_word();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xd0
+****************************************************************************/
+static void x86emuOp_opcD0_byte_RM_1(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u8 *destreg;
+    uint destoffset;
+    u8 destval;
+
+    /*
+     * Yet another weirdo special case instruction format.  Part of
+     * the opcode held below in "RH".  Doubly nested case would
+     * result, except that the decoded instruction
+     */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+        switch (rh) {
+        case 0:
+            DECODE_PRINTF("ROL\t");
+            break;
+        case 1:
+            DECODE_PRINTF("ROR\t");
+            break;
+        case 2:
+            DECODE_PRINTF("RCL\t");
+            break;
+        case 3:
+            DECODE_PRINTF("RCR\t");
+            break;
+        case 4:
+            DECODE_PRINTF("SHL\t");
+            break;
+        case 5:
+            DECODE_PRINTF("SHR\t");
+            break;
+        case 6:
+            DECODE_PRINTF("SAL\t");
+            break;
+        case 7:
+            DECODE_PRINTF("SAR\t");
+            break;
+        }
+    }
+#endif
+    /* know operation, decode the mod byte to find the addressing
+       mode. */
+    if (mod < 3) {
+        DECODE_PRINTF("BYTE PTR ");
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",1\n");
+        destval = fetch_data_byte(destoffset);
+        TRACE_AND_STEP();
+        destval = (*opcD0_byte_operation[rh]) (destval, 1);
+        store_data_byte(destoffset, destval);
+    } else {                     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        DECODE_PRINTF(",1\n");
+        TRACE_AND_STEP();
+        destval = (*opcD0_byte_operation[rh]) (*destreg, 1);
+        *destreg = destval;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xd1
+****************************************************************************/
+static void x86emuOp_opcD1_word_RM_1(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    /*
+     * Yet another weirdo special case instruction format.  Part of
+     * the opcode held below in "RH".  Doubly nested case would
+     * result, except that the decoded instruction
+     */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+        switch (rh) {
+        case 0:
+            DECODE_PRINTF("ROL\t");
+            break;
+        case 1:
+            DECODE_PRINTF("ROR\t");
+            break;
+        case 2:
+            DECODE_PRINTF("RCL\t");
+            break;
+        case 3:
+            DECODE_PRINTF("RCR\t");
+            break;
+        case 4:
+            DECODE_PRINTF("SHL\t");
+            break;
+        case 5:
+            DECODE_PRINTF("SHR\t");
+            break;
+        case 6:
+            DECODE_PRINTF("SAL\t");
+            break;
+        case 7:
+            DECODE_PRINTF("SAR\t");
+            break;
+        }
+    }
+#endif
+    /* know operation, decode the mod byte to find the addressing
+       mode. */
+    if (mod < 3) {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval;
+
+            DECODE_PRINTF("DWORD PTR ");
+            destoffset = decode_rmXX_address(mod, rl);
+            DECODE_PRINTF(",1\n");
+            destval = fetch_data_long(destoffset);
+            TRACE_AND_STEP();
+            destval = (*opcD1_long_operation[rh]) (destval, 1);
+            store_data_long(destoffset, destval);
+        } else {
+            u16 destval;
+
+            DECODE_PRINTF("WORD PTR ");
+            destoffset = decode_rmXX_address(mod, rl);
+            DECODE_PRINTF(",1\n");
+            destval = fetch_data_word(destoffset);
+            TRACE_AND_STEP();
+            destval = (*opcD1_word_operation[rh]) (destval, 1);
+            store_data_word(destoffset, destval);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                       u32 destval;
+                       u32 *destreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",1\n");
+            TRACE_AND_STEP();
+            destval = (*opcD1_long_operation[rh]) (*destreg, 1);
+            *destreg = destval;
+        } else {
+                       u16 destval;
+                       u16 *destreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",1\n");
+            TRACE_AND_STEP();
+            destval = (*opcD1_word_operation[rh]) (*destreg, 1);
+            *destreg = destval;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xd2
+****************************************************************************/
+static void x86emuOp_opcD2_byte_RM_CL(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u8 *destreg;
+    uint destoffset;
+    u8 destval;
+    u8 amt;
+
+    /*
+     * Yet another weirdo special case instruction format.  Part of
+     * the opcode held below in "RH".  Doubly nested case would
+     * result, except that the decoded instruction
+     */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+        switch (rh) {
+        case 0:
+            DECODE_PRINTF("ROL\t");
+            break;
+        case 1:
+            DECODE_PRINTF("ROR\t");
+            break;
+        case 2:
+            DECODE_PRINTF("RCL\t");
+            break;
+        case 3:
+            DECODE_PRINTF("RCR\t");
+            break;
+        case 4:
+            DECODE_PRINTF("SHL\t");
+            break;
+        case 5:
+            DECODE_PRINTF("SHR\t");
+            break;
+        case 6:
+            DECODE_PRINTF("SAL\t");
+            break;
+        case 7:
+            DECODE_PRINTF("SAR\t");
+            break;
+        }
+    }
+#endif
+    /* know operation, decode the mod byte to find the addressing
+       mode. */
+    amt = M.x86.R_CL;
+    if (mod < 3) {
+        DECODE_PRINTF("BYTE PTR ");
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",CL\n");
+        destval = fetch_data_byte(destoffset);
+        TRACE_AND_STEP();
+        destval = (*opcD0_byte_operation[rh]) (destval, amt);
+        store_data_byte(destoffset, destval);
+    } else {                     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        DECODE_PRINTF(",CL\n");
+        TRACE_AND_STEP();
+        destval = (*opcD0_byte_operation[rh]) (*destreg, amt);
+        *destreg = destval;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xd3
+****************************************************************************/
+static void x86emuOp_opcD3_word_RM_CL(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8 amt;
+
+    /*
+     * Yet another weirdo special case instruction format.  Part of
+     * the opcode held below in "RH".  Doubly nested case would
+     * result, except that the decoded instruction
+     */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+        switch (rh) {
+        case 0:
+            DECODE_PRINTF("ROL\t");
+            break;
+        case 1:
+            DECODE_PRINTF("ROR\t");
+            break;
+        case 2:
+            DECODE_PRINTF("RCL\t");
+            break;
+        case 3:
+            DECODE_PRINTF("RCR\t");
+            break;
+        case 4:
+            DECODE_PRINTF("SHL\t");
+            break;
+        case 5:
+            DECODE_PRINTF("SHR\t");
+            break;
+        case 6:
+            DECODE_PRINTF("SAL\t");
+            break;
+        case 7:
+            DECODE_PRINTF("SAR\t");
+            break;
+        }
+    }
+#endif
+    /* know operation, decode the mod byte to find the addressing
+       mode. */
+    amt = M.x86.R_CL;
+    if (mod < 3) {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval;
+
+            DECODE_PRINTF("DWORD PTR ");
+            destoffset = decode_rmXX_address(mod, rl);
+            DECODE_PRINTF(",CL\n");
+            destval = fetch_data_long(destoffset);
+            TRACE_AND_STEP();
+            destval = (*opcD1_long_operation[rh]) (destval, amt);
+            store_data_long(destoffset, destval);
+        } else {
+            u16 destval;
+
+            DECODE_PRINTF("WORD PTR ");
+            destoffset = decode_rmXX_address(mod, rl);
+            DECODE_PRINTF(",CL\n");
+            destval = fetch_data_word(destoffset);
+            TRACE_AND_STEP();
+            destval = (*opcD1_word_operation[rh]) (destval, amt);
+            store_data_word(destoffset, destval);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",CL\n");
+            TRACE_AND_STEP();
+            *destreg = (*opcD1_long_operation[rh]) (*destreg, amt);
+        } else {
+            u16 *destreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",CL\n");
+            TRACE_AND_STEP();
+            *destreg = (*opcD1_word_operation[rh]) (*destreg, amt);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xd4
+****************************************************************************/
+static void x86emuOp_aam(u8 X86EMU_UNUSED(op1))
+{
+    u8 a;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("AAM\n");
+    a = fetch_byte_imm();      /* this is a stupid encoding. */
+    if (a != 10) {
+        DECODE_PRINTF("ERROR DECODING AAM\n");
+        TRACE_REGS();
+        HALT_SYS();
+    }
+    TRACE_AND_STEP();
+    /* note the type change here --- returning AL and AH in AX. */
+    M.x86.R_AX = aam_word(M.x86.R_AL);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xd5
+****************************************************************************/
+static void x86emuOp_aad(u8 X86EMU_UNUSED(op1))
+{
+    u8 a;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("AAD\n");
+    a = fetch_byte_imm();
+    TRACE_AND_STEP();
+    M.x86.R_AX = aad_word(M.x86.R_AX);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/* opcode 0xd6 ILLEGAL OPCODE */
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xd7
+****************************************************************************/
+static void x86emuOp_xlat(u8 X86EMU_UNUSED(op1))
+{
+    u16 addr;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("XLAT\n");
+    TRACE_AND_STEP();
+       addr = (u16)(M.x86.R_BX + (u8)M.x86.R_AL);
+    M.x86.R_AL = fetch_data_byte(addr);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/* instuctions  D8 .. DF are in i87_ops.c */
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xe0
+****************************************************************************/
+static void x86emuOp_loopne(u8 X86EMU_UNUSED(op1))
+{
+    s16 ip;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("LOOPNE\t");
+    ip = (s8) fetch_byte_imm();
+    ip += (s16) M.x86.R_IP;
+    DECODE_PRINTF2("%04x\n", ip);
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_ADDR)
+        M.x86.R_ECX -= 1;
+    else
+        M.x86.R_CX -= 1;
+    if (((M.x86.mode & SYSMODE_PREFIX_ADDR) ? M.x86.R_ECX : M.x86.R_CX) != 0 && !ACCESS_FLAG(F_ZF))      /* (E)CX != 0 and !ZF */
+        M.x86.R_IP = ip;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xe1
+****************************************************************************/
+static void x86emuOp_loope(u8 X86EMU_UNUSED(op1))
+{
+    s16 ip;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("LOOPE\t");
+    ip = (s8) fetch_byte_imm();
+    ip += (s16) M.x86.R_IP;
+    DECODE_PRINTF2("%04x\n", ip);
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_ADDR)
+        M.x86.R_ECX -= 1;
+    else
+        M.x86.R_CX -= 1;
+    if (((M.x86.mode & SYSMODE_PREFIX_ADDR) ? M.x86.R_ECX : M.x86.R_CX) != 0 && ACCESS_FLAG(F_ZF))      /* (E)CX != 0 and ZF */
+        M.x86.R_IP = ip;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xe2
+****************************************************************************/
+static void x86emuOp_loop(u8 X86EMU_UNUSED(op1))
+{
+    s16 ip;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("LOOP\t");
+    ip = (s8) fetch_byte_imm();
+    ip += (s16) M.x86.R_IP;
+    DECODE_PRINTF2("%04x\n", ip);
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_ADDR)
+        M.x86.R_ECX -= 1;
+    else
+        M.x86.R_CX -= 1;
+    if (((M.x86.mode & SYSMODE_PREFIX_ADDR) ? M.x86.R_ECX : M.x86.R_CX) != 0)      /* (E)CX != 0 */
+        M.x86.R_IP = ip;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xe3
+****************************************************************************/
+static void x86emuOp_jcxz(u8 X86EMU_UNUSED(op1))
+{
+    u16 target;
+    s8  offset;
+
+    /* jump to byte offset if overflow flag is set */
+    START_OF_INSTR();
+    DECODE_PRINTF("JCXZ\t");
+    offset = (s8)fetch_byte_imm();
+    target = (u16)(M.x86.R_IP + offset);
+    DECODE_PRINTF2("%x\n", target);
+    TRACE_AND_STEP();
+    if (M.x86.R_CX == 0) {
+        M.x86.R_IP = target;
+       JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, " CXZ ");
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xe4
+****************************************************************************/
+static void x86emuOp_in_byte_AL_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u8 port;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("IN\t");
+       port = (u8) fetch_byte_imm();
+    DECODE_PRINTF2("%x,AL\n", port);
+    TRACE_AND_STEP();
+    M.x86.R_AL = (*sys_inb)(port);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xe5
+****************************************************************************/
+static void x86emuOp_in_word_AX_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u8 port;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("IN\t");
+       port = (u8) fetch_byte_imm();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF2("EAX,%x\n", port);
+    } else {
+        DECODE_PRINTF2("AX,%x\n", port);
+    }
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        M.x86.R_EAX = (*sys_inl)(port);
+    } else {
+        M.x86.R_AX = (*sys_inw)(port);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xe6
+****************************************************************************/
+static void x86emuOp_out_byte_IMM_AL(u8 X86EMU_UNUSED(op1))
+{
+    u8 port;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("OUT\t");
+       port = (u8) fetch_byte_imm();
+    DECODE_PRINTF2("%x,AL\n", port);
+    TRACE_AND_STEP();
+    (*sys_outb)(port, M.x86.R_AL);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xe7
+****************************************************************************/
+static void x86emuOp_out_word_IMM_AX(u8 X86EMU_UNUSED(op1))
+{
+    u8 port;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("OUT\t");
+       port = (u8) fetch_byte_imm();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF2("%x,EAX\n", port);
+    } else {
+        DECODE_PRINTF2("%x,AX\n", port);
+    }
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        (*sys_outl)(port, M.x86.R_EAX);
+    } else {
+        (*sys_outw)(port, M.x86.R_AX);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xe8
+****************************************************************************/
+static void x86emuOp_call_near_IMM(u8 X86EMU_UNUSED(op1))
+{
+    s16 ip;
+
+    START_OF_INSTR();
+       DECODE_PRINTF("CALL\t");
+       ip = (s16) fetch_word_imm();
+       ip += (s16) M.x86.R_IP;    /* CHECK SIGN */
+       DECODE_PRINTF2("%04x\n", ip);
+       CALL_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, ip, "");
+    TRACE_AND_STEP();
+    push_word(M.x86.R_IP);
+    M.x86.R_IP = ip;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xe9
+****************************************************************************/
+static void x86emuOp_jump_near_IMM(u8 X86EMU_UNUSED(op1))
+{
+    int ip;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("JMP\t");
+    ip = (s16)fetch_word_imm();
+    ip += (s16)M.x86.R_IP;
+    DECODE_PRINTF2("%04x\n", ip);
+    JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, ip, " NEAR ");
+    TRACE_AND_STEP();
+    M.x86.R_IP = (u16)ip;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xea
+****************************************************************************/
+static void x86emuOp_jump_far_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u16 cs, ip;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("JMP\tFAR ");
+    ip = fetch_word_imm();
+    cs = fetch_word_imm();
+    DECODE_PRINTF2("%04x:", cs);
+    DECODE_PRINTF2("%04x\n", ip);
+    JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, cs, ip, " FAR ");
+    TRACE_AND_STEP();
+    M.x86.R_IP = ip;
+    M.x86.R_CS = cs;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xeb
+****************************************************************************/
+static void x86emuOp_jump_byte_IMM(u8 X86EMU_UNUSED(op1))
+{
+    u16 target;
+    s8 offset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("JMP\t");
+    offset = (s8)fetch_byte_imm();
+    target = (u16)(M.x86.R_IP + offset);
+    DECODE_PRINTF2("%x\n", target);
+    JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, target, " BYTE ");
+    TRACE_AND_STEP();
+    M.x86.R_IP = target;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xec
+****************************************************************************/
+static void x86emuOp_in_byte_AL_DX(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("IN\tAL,DX\n");
+    TRACE_AND_STEP();
+    M.x86.R_AL = (*sys_inb)(M.x86.R_DX);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xed
+****************************************************************************/
+static void x86emuOp_in_word_AX_DX(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("IN\tEAX,DX\n");
+    } else {
+        DECODE_PRINTF("IN\tAX,DX\n");
+    }
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        M.x86.R_EAX = (*sys_inl)(M.x86.R_DX);
+    } else {
+        M.x86.R_AX = (*sys_inw)(M.x86.R_DX);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xee
+****************************************************************************/
+static void x86emuOp_out_byte_DX_AL(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("OUT\tDX,AL\n");
+    TRACE_AND_STEP();
+    (*sys_outb)(M.x86.R_DX, M.x86.R_AL);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xef
+****************************************************************************/
+static void x86emuOp_out_word_DX_AX(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        DECODE_PRINTF("OUT\tDX,EAX\n");
+    } else {
+        DECODE_PRINTF("OUT\tDX,AX\n");
+    }
+    TRACE_AND_STEP();
+    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+        (*sys_outl)(M.x86.R_DX, M.x86.R_EAX);
+    } else {
+        (*sys_outw)(M.x86.R_DX, M.x86.R_AX);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xf0
+****************************************************************************/
+static void x86emuOp_lock(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("LOCK:\n");
+    TRACE_AND_STEP();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/*opcode 0xf1 ILLEGAL OPERATION */
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xf2
+****************************************************************************/
+static void x86emuOp_repne(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("REPNE\n");
+    TRACE_AND_STEP();
+    M.x86.mode |= SYSMODE_PREFIX_REPNE;
+    if (M.x86.mode & SYSMODE_PREFIX_ADDR)
+        M.x86.mode |= SYSMODE_32BIT_REP;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xf3
+****************************************************************************/
+static void x86emuOp_repe(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("REPE\n");
+    TRACE_AND_STEP();
+    M.x86.mode |= SYSMODE_PREFIX_REPE;
+    if (M.x86.mode & SYSMODE_PREFIX_ADDR)
+        M.x86.mode |= SYSMODE_32BIT_REP;
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xf4
+****************************************************************************/
+static void x86emuOp_halt(u8 X86EMU_UNUSED(op1))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("HALT\n");
+    TRACE_AND_STEP();
+    HALT_SYS();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xf5
+****************************************************************************/
+static void x86emuOp_cmc(u8 X86EMU_UNUSED(op1))
+{
+    /* complement the carry flag. */
+    START_OF_INSTR();
+    DECODE_PRINTF("CMC\n");
+    TRACE_AND_STEP();
+    TOGGLE_FLAG(F_CF);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xf6
+****************************************************************************/
+static void x86emuOp_opcF6_byte_RM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    u8 *destreg;
+    uint destoffset;
+    u8 destval, srcval;
+
+    /* long, drawn out code follows.  Double switch for a total
+       of 32 cases.  */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    DECODE_PRINTF(opF6_names[rh]);
+    if (mod < 3) {
+        DECODE_PRINTF("BYTE PTR ");
+        destoffset = decode_rmXX_address(mod, rl);
+        destval = fetch_data_byte(destoffset);
+
+        switch (rh) {
+        case 0:         /* test byte imm */
+            DECODE_PRINTF(",");
+            srcval = fetch_byte_imm();
+            DECODE_PRINTF2("%02x\n", srcval);
+            TRACE_AND_STEP();
+            test_byte(destval, srcval);
+            break;
+        case 1:
+            DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F6\n");
+            HALT_SYS();
+            break;
+        case 2:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            destval = not_byte(destval);
+            store_data_byte(destoffset, destval);
+            break;
+        case 3:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            destval = neg_byte(destval);
+            store_data_byte(destoffset, destval);
+            break;
+        case 4:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            mul_byte(destval);
+            break;
+        case 5:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            imul_byte(destval);
+            break;
+        case 6:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            div_byte(destval);
+            break;
+        default:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            idiv_byte(destval);
+            break;
+        }
+    } else {                     /* mod=11 */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        switch (rh) {
+        case 0:         /* test byte imm */
+            DECODE_PRINTF(",");
+            srcval = fetch_byte_imm();
+            DECODE_PRINTF2("%02x\n", srcval);
+            TRACE_AND_STEP();
+            test_byte(*destreg, srcval);
+            break;
+        case 1:
+            DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F6\n");
+            HALT_SYS();
+            break;
+        case 2:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = not_byte(*destreg);
+            break;
+        case 3:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = neg_byte(*destreg);
+            break;
+        case 4:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            mul_byte(*destreg);      /*!!!  */
+            break;
+        case 5:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            imul_byte(*destreg);
+            break;
+        case 6:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            div_byte(*destreg);
+            break;
+        default:
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            idiv_byte(*destreg);
+            break;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xf7
+****************************************************************************/
+static void x86emuOp_opcF7_word_RM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    DECODE_PRINTF(opF6_names[rh]);
+    if (mod < 3) {
+
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval, srcval;
+
+            DECODE_PRINTF("DWORD PTR ");
+            destoffset = decode_rmXX_address(mod, rl);
+            destval = fetch_data_long(destoffset);
+
+            switch (rh) {
+            case 0:
+                DECODE_PRINTF(",");
+                srcval = fetch_long_imm();
+                DECODE_PRINTF2("%x\n", srcval);
+                TRACE_AND_STEP();
+                test_long(destval, srcval);
+                break;
+            case 1:
+                DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F7\n");
+                HALT_SYS();
+                break;
+            case 2:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                destval = not_long(destval);
+                store_data_long(destoffset, destval);
+                break;
+            case 3:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                destval = neg_long(destval);
+                store_data_long(destoffset, destval);
+                break;
+            case 4:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                mul_long(destval);
+                break;
+            case 5:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                imul_long(destval);
+                break;
+            case 6:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                div_long(destval);
+                break;
+            case 7:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                idiv_long(destval);
+                break;
+            }
+        } else {
+            u16 destval, srcval;
+
+            DECODE_PRINTF("WORD PTR ");
+            destoffset = decode_rmXX_address(mod, rl);
+            destval = fetch_data_word(destoffset);
+
+            switch (rh) {
+            case 0:         /* test word imm */
+                DECODE_PRINTF(",");
+                srcval = fetch_word_imm();
+                DECODE_PRINTF2("%x\n", srcval);
+                TRACE_AND_STEP();
+                test_word(destval, srcval);
+                break;
+            case 1:
+                DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F7\n");
+                HALT_SYS();
+                break;
+            case 2:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                destval = not_word(destval);
+                store_data_word(destoffset, destval);
+                break;
+            case 3:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                destval = neg_word(destval);
+                store_data_word(destoffset, destval);
+                break;
+            case 4:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                mul_word(destval);
+                break;
+            case 5:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                imul_word(destval);
+                break;
+            case 6:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                div_word(destval);
+                break;
+            case 7:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                idiv_word(destval);
+                break;
+            }
+        }
+
+    } else {                     /* mod=11 */
+
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+            u32 srcval;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+
+            switch (rh) {
+            case 0:         /* test word imm */
+                DECODE_PRINTF(",");
+                srcval = fetch_long_imm();
+                DECODE_PRINTF2("%x\n", srcval);
+                TRACE_AND_STEP();
+                test_long(*destreg, srcval);
+                break;
+            case 1:
+                DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F6\n");
+                HALT_SYS();
+                break;
+            case 2:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                *destreg = not_long(*destreg);
+                break;
+            case 3:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                *destreg = neg_long(*destreg);
+                break;
+            case 4:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                mul_long(*destreg);      /*!!!  */
+                break;
+            case 5:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                imul_long(*destreg);
+                break;
+            case 6:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                div_long(*destreg);
+                break;
+            case 7:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                idiv_long(*destreg);
+                break;
+            }
+        } else {
+            u16 *destreg;
+            u16 srcval;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+
+            switch (rh) {
+            case 0:         /* test word imm */
+                DECODE_PRINTF(",");
+                srcval = fetch_word_imm();
+                DECODE_PRINTF2("%x\n", srcval);
+                TRACE_AND_STEP();
+                test_word(*destreg, srcval);
+                break;
+            case 1:
+                DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F6\n");
+                HALT_SYS();
+                break;
+            case 2:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                *destreg = not_word(*destreg);
+                break;
+            case 3:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                *destreg = neg_word(*destreg);
+                break;
+            case 4:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                mul_word(*destreg);      /*!!!  */
+                break;
+            case 5:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                imul_word(*destreg);
+                break;
+            case 6:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                div_word(*destreg);
+                break;
+            case 7:
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                idiv_word(*destreg);
+                break;
+            }
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xf8
+****************************************************************************/
+static void x86emuOp_clc(u8 X86EMU_UNUSED(op1))
+{
+    /* clear the carry flag. */
+    START_OF_INSTR();
+    DECODE_PRINTF("CLC\n");
+    TRACE_AND_STEP();
+    CLEAR_FLAG(F_CF);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xf9
+****************************************************************************/
+static void x86emuOp_stc(u8 X86EMU_UNUSED(op1))
+{
+    /* set the carry flag. */
+    START_OF_INSTR();
+    DECODE_PRINTF("STC\n");
+    TRACE_AND_STEP();
+    SET_FLAG(F_CF);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xfa
+****************************************************************************/
+static void x86emuOp_cli(u8 X86EMU_UNUSED(op1))
+{
+    /* clear interrupts. */
+    START_OF_INSTR();
+    DECODE_PRINTF("CLI\n");
+    TRACE_AND_STEP();
+    CLEAR_FLAG(F_IF);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xfb
+****************************************************************************/
+static void x86emuOp_sti(u8 X86EMU_UNUSED(op1))
+{
+    /* enable  interrupts. */
+    START_OF_INSTR();
+    DECODE_PRINTF("STI\n");
+    TRACE_AND_STEP();
+    SET_FLAG(F_IF);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xfc
+****************************************************************************/
+static void x86emuOp_cld(u8 X86EMU_UNUSED(op1))
+{
+    /* clear interrupts. */
+    START_OF_INSTR();
+    DECODE_PRINTF("CLD\n");
+    TRACE_AND_STEP();
+    CLEAR_FLAG(F_DF);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xfd
+****************************************************************************/
+static void x86emuOp_std(u8 X86EMU_UNUSED(op1))
+{
+    /* clear interrupts. */
+    START_OF_INSTR();
+    DECODE_PRINTF("STD\n");
+    TRACE_AND_STEP();
+    SET_FLAG(F_DF);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xfe
+****************************************************************************/
+static void x86emuOp_opcFE_byte_RM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rh, rl;
+    u8 destval;
+    uint destoffset;
+    u8 *destreg;
+
+    /* Yet another special case instruction. */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+
+        switch (rh) {
+        case 0:
+            DECODE_PRINTF("INC\t");
+            break;
+        case 1:
+            DECODE_PRINTF("DEC\t");
+            break;
+        case 2:
+        case 3:
+        case 4:
+        case 5:
+        case 6:
+        case 7:
+            DECODE_PRINTF2("ILLEGAL OP MAJOR OP 0xFE MINOR OP %x \n", mod);
+            HALT_SYS();
+            break;
+        }
+    }
+#endif
+    if (mod < 3) {
+        DECODE_PRINTF("BYTE PTR ");
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF("\n");
+        destval = fetch_data_byte(destoffset);
+        TRACE_AND_STEP();
+        if (rh == 0)
+          destval = inc_byte(destval);
+        else
+          destval = dec_byte(destval);
+        store_data_byte(destoffset, destval);
+    } else {
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        if (rh == 0)
+          *destreg = inc_byte(*destreg);
+        else
+          *destreg = dec_byte(*destreg);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0xff
+****************************************************************************/
+static void x86emuOp_opcFF_word_RM(u8 X86EMU_UNUSED(op1))
+{
+    int mod, rh, rl;
+    uint destoffset = 0;
+       u16 *destreg;
+       u32 *destreg32;
+       u16 destval,destval2;
+       u32 destval32;
+
+    /* Yet another special case instruction. */
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+#ifdef DEBUG
+    if (DEBUG_DECODE()) {
+        /* XXX DECODE_PRINTF may be changed to something more
+           general, so that it is important to leave the strings
+           in the same format, even though the result is that the
+           above test is done twice. */
+
+        switch (rh) {
+        case 0:
+            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                DECODE_PRINTF("INC\tDWORD PTR ");
+            } else {
+                DECODE_PRINTF("INC\tWORD PTR ");
+            }
+            break;
+        case 1:
+            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                DECODE_PRINTF("DEC\tDWORD PTR ");
+            } else {
+                DECODE_PRINTF("DEC\tWORD PTR ");
+            }
+            break;
+        case 2:
+            DECODE_PRINTF("CALL\t ");
+            break;
+        case 3:
+            DECODE_PRINTF("CALL\tFAR ");
+            break;
+        case 4:
+            DECODE_PRINTF("JMP\t");
+            break;
+        case 5:
+            DECODE_PRINTF("JMP\tFAR ");
+            break;
+        case 6:
+            DECODE_PRINTF("PUSH\t");
+            break;
+        case 7:
+            DECODE_PRINTF("ILLEGAL DECODING OF OPCODE FF\t");
+            HALT_SYS();
+            break;
+        }
+    }
+#endif
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF("\n");
+        switch (rh) {
+        case 0:         /* inc word ptr ... */
+            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                destval32 = fetch_data_long(destoffset);
+                TRACE_AND_STEP();
+                destval32 = inc_long(destval32);
+                store_data_long(destoffset, destval32);
+            } else {
+                destval = fetch_data_word(destoffset);
+                TRACE_AND_STEP();
+                destval = inc_word(destval);
+                store_data_word(destoffset, destval);
+            }
+            break;
+        case 1:         /* dec word ptr ... */
+            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                destval32 = fetch_data_long(destoffset);
+                TRACE_AND_STEP();
+                destval32 = dec_long(destval32);
+                store_data_long(destoffset, destval32);
+            } else {
+                destval = fetch_data_word(destoffset);
+                TRACE_AND_STEP();
+                destval = dec_word(destval);
+                store_data_word(destoffset, destval);
+            }
+            break;
+        case 2:         /* call word ptr ... */
+            destval = fetch_data_word(destoffset);
+            TRACE_AND_STEP();
+            push_word(M.x86.R_IP);
+            M.x86.R_IP = destval;
+            break;
+        case 3:         /* call far ptr ... */
+            destval = fetch_data_word(destoffset);
+            destval2 = fetch_data_word(destoffset + 2);
+            TRACE_AND_STEP();
+            push_word(M.x86.R_CS);
+            M.x86.R_CS = destval2;
+            push_word(M.x86.R_IP);
+            M.x86.R_IP = destval;
+            break;
+        case 4:         /* jmp word ptr ... */
+            destval = fetch_data_word(destoffset);
+            JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, destval, " WORD ");
+            TRACE_AND_STEP();
+            M.x86.R_IP = destval;
+            break;
+        case 5:         /* jmp far ptr ... */
+            destval = fetch_data_word(destoffset);
+            destval2 = fetch_data_word(destoffset + 2);
+            JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, destval2, destval, " FAR ");
+            TRACE_AND_STEP();
+            M.x86.R_IP = destval;
+            M.x86.R_CS = destval2;
+            break;
+        case 6:         /*  push word ptr ... */
+            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                destval32 = fetch_data_long(destoffset);
+                TRACE_AND_STEP();
+                push_long(destval32);
+            } else {
+                destval = fetch_data_word(destoffset);
+                TRACE_AND_STEP();
+                push_word(destval);
+            }
+            break;
+        }
+    } else {
+        switch (rh) {
+        case 0:
+            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                destreg32 = DECODE_RM_LONG_REGISTER(rl);
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                *destreg32 = inc_long(*destreg32);
+            } else {
+                destreg = DECODE_RM_WORD_REGISTER(rl);
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                *destreg = inc_word(*destreg);
+            }
+            break;
+        case 1:
+            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                destreg32 = DECODE_RM_LONG_REGISTER(rl);
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                *destreg32 = dec_long(*destreg32);
+            } else {
+                destreg = DECODE_RM_WORD_REGISTER(rl);
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                *destreg = dec_word(*destreg);
+            }
+            break;
+        case 2:         /* call word ptr ... */
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            push_word(M.x86.R_IP);
+            M.x86.R_IP = *destreg;
+            break;
+        case 3:         /* jmp far ptr ... */
+            DECODE_PRINTF("OPERATION UNDEFINED 0XFF \n");
+            TRACE_AND_STEP();
+            HALT_SYS();
+            break;
+
+        case 4:         /* jmp  ... */
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            M.x86.R_IP = (u16) (*destreg);
+            break;
+        case 5:         /* jmp far ptr ... */
+            DECODE_PRINTF("OPERATION UNDEFINED 0XFF \n");
+            TRACE_AND_STEP();
+            HALT_SYS();
+            break;
+        case 6:
+            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+                destreg32 = DECODE_RM_LONG_REGISTER(rl);
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                push_long(*destreg32);
+            } else {
+                destreg = DECODE_RM_WORD_REGISTER(rl);
+                DECODE_PRINTF("\n");
+                TRACE_AND_STEP();
+                push_word(*destreg);
+            }
+            break;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/***************************************************************************
+ * Single byte operation code table:
+ **************************************************************************/
+void (*x86emu_optab[256])(u8) =
+{
+/*  0x00 */ x86emuOp_genop_byte_RM_R,
+/*  0x01 */ x86emuOp_genop_word_RM_R,
+/*  0x02 */ x86emuOp_genop_byte_R_RM,
+/*  0x03 */ x86emuOp_genop_word_R_RM,
+/*  0x04 */ x86emuOp_genop_byte_AL_IMM,
+/*  0x05 */ x86emuOp_genop_word_AX_IMM,
+/*  0x06 */ x86emuOp_push_ES,
+/*  0x07 */ x86emuOp_pop_ES,
+
+/*  0x08 */ x86emuOp_genop_byte_RM_R,
+/*  0x09 */ x86emuOp_genop_word_RM_R,
+/*  0x0a */ x86emuOp_genop_byte_R_RM,
+/*  0x0b */ x86emuOp_genop_word_R_RM,
+/*  0x0c */ x86emuOp_genop_byte_AL_IMM,
+/*  0x0d */ x86emuOp_genop_word_AX_IMM,
+/*  0x0e */ x86emuOp_push_CS,
+/*  0x0f */ x86emuOp_two_byte,
+
+/*  0x10 */ x86emuOp_genop_byte_RM_R,
+/*  0x11 */ x86emuOp_genop_word_RM_R,
+/*  0x12 */ x86emuOp_genop_byte_R_RM,
+/*  0x13 */ x86emuOp_genop_word_R_RM,
+/*  0x14 */ x86emuOp_genop_byte_AL_IMM,
+/*  0x15 */ x86emuOp_genop_word_AX_IMM,
+/*  0x16 */ x86emuOp_push_SS,
+/*  0x17 */ x86emuOp_pop_SS,
+
+/*  0x18 */ x86emuOp_genop_byte_RM_R,
+/*  0x19 */ x86emuOp_genop_word_RM_R,
+/*  0x1a */ x86emuOp_genop_byte_R_RM,
+/*  0x1b */ x86emuOp_genop_word_R_RM,
+/*  0x1c */ x86emuOp_genop_byte_AL_IMM,
+/*  0x1d */ x86emuOp_genop_word_AX_IMM,
+/*  0x1e */ x86emuOp_push_DS,
+/*  0x1f */ x86emuOp_pop_DS,
+
+/*  0x20 */ x86emuOp_genop_byte_RM_R,
+/*  0x21 */ x86emuOp_genop_word_RM_R,
+/*  0x22 */ x86emuOp_genop_byte_R_RM,
+/*  0x23 */ x86emuOp_genop_word_R_RM,
+/*  0x24 */ x86emuOp_genop_byte_AL_IMM,
+/*  0x25 */ x86emuOp_genop_word_AX_IMM,
+/*  0x26 */ x86emuOp_segovr_ES,
+/*  0x27 */ x86emuOp_daa,
+
+/*  0x28 */ x86emuOp_genop_byte_RM_R,
+/*  0x29 */ x86emuOp_genop_word_RM_R,
+/*  0x2a */ x86emuOp_genop_byte_R_RM,
+/*  0x2b */ x86emuOp_genop_word_R_RM,
+/*  0x2c */ x86emuOp_genop_byte_AL_IMM,
+/*  0x2d */ x86emuOp_genop_word_AX_IMM,
+/*  0x2e */ x86emuOp_segovr_CS,
+/*  0x2f */ x86emuOp_das,
+
+/*  0x30 */ x86emuOp_genop_byte_RM_R,
+/*  0x31 */ x86emuOp_genop_word_RM_R,
+/*  0x32 */ x86emuOp_genop_byte_R_RM,
+/*  0x33 */ x86emuOp_genop_word_R_RM,
+/*  0x34 */ x86emuOp_genop_byte_AL_IMM,
+/*  0x35 */ x86emuOp_genop_word_AX_IMM,
+/*  0x36 */ x86emuOp_segovr_SS,
+/*  0x37 */ x86emuOp_aaa,
+
+/*  0x38 */ x86emuOp_genop_byte_RM_R,
+/*  0x39 */ x86emuOp_genop_word_RM_R,
+/*  0x3a */ x86emuOp_genop_byte_R_RM,
+/*  0x3b */ x86emuOp_genop_word_R_RM,
+/*  0x3c */ x86emuOp_genop_byte_AL_IMM,
+/*  0x3d */ x86emuOp_genop_word_AX_IMM,
+/*  0x3e */ x86emuOp_segovr_DS,
+/*  0x3f */ x86emuOp_aas,
+
+/*  0x40 */ x86emuOp_inc_register,
+/*  0x41 */ x86emuOp_inc_register,
+/*  0x42 */ x86emuOp_inc_register,
+/*  0x43 */ x86emuOp_inc_register,
+/*  0x44 */ x86emuOp_inc_register,
+/*  0x45 */ x86emuOp_inc_register,
+/*  0x46 */ x86emuOp_inc_register,
+/*  0x47 */ x86emuOp_inc_register,
+
+/*  0x48 */ x86emuOp_dec_register,
+/*  0x49 */ x86emuOp_dec_register,
+/*  0x4a */ x86emuOp_dec_register,
+/*  0x4b */ x86emuOp_dec_register,
+/*  0x4c */ x86emuOp_dec_register,
+/*  0x4d */ x86emuOp_dec_register,
+/*  0x4e */ x86emuOp_dec_register,
+/*  0x4f */ x86emuOp_dec_register,
+
+/*  0x50 */ x86emuOp_push_register,
+/*  0x51 */ x86emuOp_push_register,
+/*  0x52 */ x86emuOp_push_register,
+/*  0x53 */ x86emuOp_push_register,
+/*  0x54 */ x86emuOp_push_register,
+/*  0x55 */ x86emuOp_push_register,
+/*  0x56 */ x86emuOp_push_register,
+/*  0x57 */ x86emuOp_push_register,
+
+/*  0x58 */ x86emuOp_pop_register,
+/*  0x59 */ x86emuOp_pop_register,
+/*  0x5a */ x86emuOp_pop_register,
+/*  0x5b */ x86emuOp_pop_register,
+/*  0x5c */ x86emuOp_pop_register,
+/*  0x5d */ x86emuOp_pop_register,
+/*  0x5e */ x86emuOp_pop_register,
+/*  0x5f */ x86emuOp_pop_register,
+
+/*  0x60 */ x86emuOp_push_all,
+/*  0x61 */ x86emuOp_pop_all,
+/*  0x62 */ x86emuOp_illegal_op,   /* bound */
+/*  0x63 */ x86emuOp_illegal_op,   /* arpl */
+/*  0x64 */ x86emuOp_segovr_FS,
+/*  0x65 */ x86emuOp_segovr_GS,
+/*  0x66 */ x86emuOp_prefix_data,
+/*  0x67 */ x86emuOp_prefix_addr,
+
+/*  0x68 */ x86emuOp_push_word_IMM,
+/*  0x69 */ x86emuOp_imul_word_IMM,
+/*  0x6a */ x86emuOp_push_byte_IMM,
+/*  0x6b */ x86emuOp_imul_byte_IMM,
+/*  0x6c */ x86emuOp_ins_byte,
+/*  0x6d */ x86emuOp_ins_word,
+/*  0x6e */ x86emuOp_outs_byte,
+/*  0x6f */ x86emuOp_outs_word,
+
+/*  0x70 */ x86emuOp_jump_near_cond,
+/*  0x71 */ x86emuOp_jump_near_cond,
+/*  0x72 */ x86emuOp_jump_near_cond,
+/*  0x73 */ x86emuOp_jump_near_cond,
+/*  0x74 */ x86emuOp_jump_near_cond,
+/*  0x75 */ x86emuOp_jump_near_cond,
+/*  0x76 */ x86emuOp_jump_near_cond,
+/*  0x77 */ x86emuOp_jump_near_cond,
+
+/*  0x78 */ x86emuOp_jump_near_cond,
+/*  0x79 */ x86emuOp_jump_near_cond,
+/*  0x7a */ x86emuOp_jump_near_cond,
+/*  0x7b */ x86emuOp_jump_near_cond,
+/*  0x7c */ x86emuOp_jump_near_cond,
+/*  0x7d */ x86emuOp_jump_near_cond,
+/*  0x7e */ x86emuOp_jump_near_cond,
+/*  0x7f */ x86emuOp_jump_near_cond,
+
+/*  0x80 */ x86emuOp_opc80_byte_RM_IMM,
+/*  0x81 */ x86emuOp_opc81_word_RM_IMM,
+/*  0x82 */ x86emuOp_opc82_byte_RM_IMM,
+/*  0x83 */ x86emuOp_opc83_word_RM_IMM,
+/*  0x84 */ x86emuOp_test_byte_RM_R,
+/*  0x85 */ x86emuOp_test_word_RM_R,
+/*  0x86 */ x86emuOp_xchg_byte_RM_R,
+/*  0x87 */ x86emuOp_xchg_word_RM_R,
+
+/*  0x88 */ x86emuOp_mov_byte_RM_R,
+/*  0x89 */ x86emuOp_mov_word_RM_R,
+/*  0x8a */ x86emuOp_mov_byte_R_RM,
+/*  0x8b */ x86emuOp_mov_word_R_RM,
+/*  0x8c */ x86emuOp_mov_word_RM_SR,
+/*  0x8d */ x86emuOp_lea_word_R_M,
+/*  0x8e */ x86emuOp_mov_word_SR_RM,
+/*  0x8f */ x86emuOp_pop_RM,
+
+/*  0x90 */ x86emuOp_nop,
+/*  0x91 */ x86emuOp_xchg_word_AX_register,
+/*  0x92 */ x86emuOp_xchg_word_AX_register,
+/*  0x93 */ x86emuOp_xchg_word_AX_register,
+/*  0x94 */ x86emuOp_xchg_word_AX_register,
+/*  0x95 */ x86emuOp_xchg_word_AX_register,
+/*  0x96 */ x86emuOp_xchg_word_AX_register,
+/*  0x97 */ x86emuOp_xchg_word_AX_register,
+
+/*  0x98 */ x86emuOp_cbw,
+/*  0x99 */ x86emuOp_cwd,
+/*  0x9a */ x86emuOp_call_far_IMM,
+/*  0x9b */ x86emuOp_wait,
+/*  0x9c */ x86emuOp_pushf_word,
+/*  0x9d */ x86emuOp_popf_word,
+/*  0x9e */ x86emuOp_sahf,
+/*  0x9f */ x86emuOp_lahf,
+
+/*  0xa0 */ x86emuOp_mov_AL_M_IMM,
+/*  0xa1 */ x86emuOp_mov_AX_M_IMM,
+/*  0xa2 */ x86emuOp_mov_M_AL_IMM,
+/*  0xa3 */ x86emuOp_mov_M_AX_IMM,
+/*  0xa4 */ x86emuOp_movs_byte,
+/*  0xa5 */ x86emuOp_movs_word,
+/*  0xa6 */ x86emuOp_cmps_byte,
+/*  0xa7 */ x86emuOp_cmps_word,
+/*  0xa8 */ x86emuOp_test_AL_IMM,
+/*  0xa9 */ x86emuOp_test_AX_IMM,
+/*  0xaa */ x86emuOp_stos_byte,
+/*  0xab */ x86emuOp_stos_word,
+/*  0xac */ x86emuOp_lods_byte,
+/*  0xad */ x86emuOp_lods_word,
+/*  0xac */ x86emuOp_scas_byte,
+/*  0xad */ x86emuOp_scas_word,
+
+/*  0xb0 */ x86emuOp_mov_byte_register_IMM,
+/*  0xb1 */ x86emuOp_mov_byte_register_IMM,
+/*  0xb2 */ x86emuOp_mov_byte_register_IMM,
+/*  0xb3 */ x86emuOp_mov_byte_register_IMM,
+/*  0xb4 */ x86emuOp_mov_byte_register_IMM,
+/*  0xb5 */ x86emuOp_mov_byte_register_IMM,
+/*  0xb6 */ x86emuOp_mov_byte_register_IMM,
+/*  0xb7 */ x86emuOp_mov_byte_register_IMM,
+
+/*  0xb8 */ x86emuOp_mov_word_register_IMM,
+/*  0xb9 */ x86emuOp_mov_word_register_IMM,
+/*  0xba */ x86emuOp_mov_word_register_IMM,
+/*  0xbb */ x86emuOp_mov_word_register_IMM,
+/*  0xbc */ x86emuOp_mov_word_register_IMM,
+/*  0xbd */ x86emuOp_mov_word_register_IMM,
+/*  0xbe */ x86emuOp_mov_word_register_IMM,
+/*  0xbf */ x86emuOp_mov_word_register_IMM,
+
+/*  0xc0 */ x86emuOp_opcC0_byte_RM_MEM,
+/*  0xc1 */ x86emuOp_opcC1_word_RM_MEM,
+/*  0xc2 */ x86emuOp_ret_near_IMM,
+/*  0xc3 */ x86emuOp_ret_near,
+/*  0xc4 */ x86emuOp_les_R_IMM,
+/*  0xc5 */ x86emuOp_lds_R_IMM,
+/*  0xc6 */ x86emuOp_mov_byte_RM_IMM,
+/*  0xc7 */ x86emuOp_mov_word_RM_IMM,
+/*  0xc8 */ x86emuOp_enter,
+/*  0xc9 */ x86emuOp_leave,
+/*  0xca */ x86emuOp_ret_far_IMM,
+/*  0xcb */ x86emuOp_ret_far,
+/*  0xcc */ x86emuOp_int3,
+/*  0xcd */ x86emuOp_int_IMM,
+/*  0xce */ x86emuOp_into,
+/*  0xcf */ x86emuOp_iret,
+
+/*  0xd0 */ x86emuOp_opcD0_byte_RM_1,
+/*  0xd1 */ x86emuOp_opcD1_word_RM_1,
+/*  0xd2 */ x86emuOp_opcD2_byte_RM_CL,
+/*  0xd3 */ x86emuOp_opcD3_word_RM_CL,
+/*  0xd4 */ x86emuOp_aam,
+/*  0xd5 */ x86emuOp_aad,
+/*  0xd6 */ x86emuOp_illegal_op,   /* Undocumented SETALC instruction */
+/*  0xd7 */ x86emuOp_xlat,
+/*  0xd8 */ x86emuOp_esc_coprocess_d8,
+/*  0xd9 */ x86emuOp_esc_coprocess_d9,
+/*  0xda */ x86emuOp_esc_coprocess_da,
+/*  0xdb */ x86emuOp_esc_coprocess_db,
+/*  0xdc */ x86emuOp_esc_coprocess_dc,
+/*  0xdd */ x86emuOp_esc_coprocess_dd,
+/*  0xde */ x86emuOp_esc_coprocess_de,
+/*  0xdf */ x86emuOp_esc_coprocess_df,
+
+/*  0xe0 */ x86emuOp_loopne,
+/*  0xe1 */ x86emuOp_loope,
+/*  0xe2 */ x86emuOp_loop,
+/*  0xe3 */ x86emuOp_jcxz,
+/*  0xe4 */ x86emuOp_in_byte_AL_IMM,
+/*  0xe5 */ x86emuOp_in_word_AX_IMM,
+/*  0xe6 */ x86emuOp_out_byte_IMM_AL,
+/*  0xe7 */ x86emuOp_out_word_IMM_AX,
+
+/*  0xe8 */ x86emuOp_call_near_IMM,
+/*  0xe9 */ x86emuOp_jump_near_IMM,
+/*  0xea */ x86emuOp_jump_far_IMM,
+/*  0xeb */ x86emuOp_jump_byte_IMM,
+/*  0xec */ x86emuOp_in_byte_AL_DX,
+/*  0xed */ x86emuOp_in_word_AX_DX,
+/*  0xee */ x86emuOp_out_byte_DX_AL,
+/*  0xef */ x86emuOp_out_word_DX_AX,
+
+/*  0xf0 */ x86emuOp_lock,
+/*  0xf1 */ x86emuOp_illegal_op,
+/*  0xf2 */ x86emuOp_repne,
+/*  0xf3 */ x86emuOp_repe,
+/*  0xf4 */ x86emuOp_halt,
+/*  0xf5 */ x86emuOp_cmc,
+/*  0xf6 */ x86emuOp_opcF6_byte_RM,
+/*  0xf7 */ x86emuOp_opcF7_word_RM,
+
+/*  0xf8 */ x86emuOp_clc,
+/*  0xf9 */ x86emuOp_stc,
+/*  0xfa */ x86emuOp_cli,
+/*  0xfb */ x86emuOp_sti,
+/*  0xfc */ x86emuOp_cld,
+/*  0xfd */ x86emuOp_std,
+/*  0xfe */ x86emuOp_opcFE_byte_RM,
+/*  0xff */ x86emuOp_opcFF_word_RM,
+};
diff --git a/src/devices/oprom/x86emu/ops.h b/src/devices/oprom/x86emu/ops.h
new file mode 100644 (file)
index 0000000..825b9ea
--- /dev/null
@@ -0,0 +1,47 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  Header file for operand decoding functions.
+*
+****************************************************************************/
+
+#ifndef __X86EMU_OPS_H
+#define __X86EMU_OPS_H
+
+extern void (*x86emu_optab[0x100])(u8 op1);
+extern void (*x86emu_optab2[0x100])(u8 op2);
+
+int x86emu_check_jump_condition(u8 op);
+
+#endif /* __X86EMU_OPS_H */
diff --git a/src/devices/oprom/x86emu/ops2.c b/src/devices/oprom/x86emu/ops2.c
new file mode 100644 (file)
index 0000000..f5cb649
--- /dev/null
@@ -0,0 +1,1950 @@
+/****************************************************************************
+*
+*                       Realmode X86 Emulator Library
+*
+*               Copyright (C) 1991-2004 SciTech Software, Inc.
+*                    Copyright (C) David Mosberger-Tang
+*                      Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:     ANSI C
+* Environment:  Any
+* Developer:    Kendall Bennett
+*
+* Description:  This file includes subroutines to implement the decoding
+*               and emulation of all the x86 extended two-byte processor
+*               instructions.
+*
+****************************************************************************/
+
+#include "x86emui.h"
+
+/*----------------------------- Implementation ----------------------------*/
+
+/****************************************************************************
+PARAMETERS:
+op1 - Instruction op code
+
+REMARKS:
+Handles illegal opcodes.
+****************************************************************************/
+static void x86emuOp2_illegal_op(u8 op2)
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("ILLEGAL EXTENDED X86 OPCODE\n");
+    TRACE_REGS();
+    printf("%04x:%04x: %02X ILLEGAL EXTENDED X86 OPCODE!\n",
+        M.x86.R_CS, M.x86.R_IP-2, op2);
+    HALT_SYS();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+ * REMARKS:
+ * Handles opcode 0x0f,0x01
+ * ****************************************************************************/
+
+static void x86emuOp2_opc_01(u8 op2)
+{
+  int mod, rl, rh;
+  u16 *destreg;
+  uint destoffset;
+
+  START_OF_INSTR();
+  FETCH_DECODE_MODRM(mod, rh, rl);
+
+  switch(rh) {
+  case 4: // SMSW (Store Machine Status Word)
+          // Decode the mod byte to find the addressing
+          // Dummy implementation: Always returns 0x10 (initial value as per intel manual volume 3, figure 8-1)
+#define SMSW_INITIAL_VALUE     0x10
+    DECODE_PRINTF("SMSW\t");
+    switch (mod) {
+    case 0:
+      destoffset = decode_rm00_address(rl);
+      store_data_word(destoffset, SMSW_INITIAL_VALUE);
+      break;
+    case 1:
+      destoffset = decode_rm01_address(rl);
+      store_data_word(destoffset, SMSW_INITIAL_VALUE);
+      break;
+    case 2:
+      destoffset = decode_rm10_address(rl);
+      store_data_word(destoffset, SMSW_INITIAL_VALUE);
+      break;
+    case 3:
+      destreg = DECODE_RM_WORD_REGISTER(rl);
+      *destreg = SMSW_INITIAL_VALUE;
+      break;
+    }
+    TRACE_AND_STEP();
+    DECODE_CLEAR_SEGOVR();
+    DECODE_PRINTF("\n");
+    break;
+  default:
+    DECODE_PRINTF("ILLEGAL EXTENDED X86 OPCODE IN 0F 01\n");
+    TRACE_REGS();
+    printf("%04x:%04x: %02X ILLEGAL EXTENDED X86 OPCODE!\n",
+        M.x86.R_CS, M.x86.R_IP-2, op2);
+    HALT_SYS();
+    break;
+  }
+
+  END_OF_INSTR();
+}
+
+/****************************************************************************
+ * REMARKS:
+ * Handles opcode 0x0f,0x08
+ * ****************************************************************************/
+static void x86emuOp2_invd(u8 op2)
+{
+  START_OF_INSTR();
+  DECODE_PRINTF("INVD\n");
+  TRACE_AND_STEP();
+  DECODE_CLEAR_SEGOVR();
+  END_OF_INSTR();
+}
+
+/****************************************************************************
+ * REMARKS:
+ * Handles opcode 0x0f,0x09
+ * ****************************************************************************/
+static void x86emuOp2_wbinvd(u8 op2)
+{
+  START_OF_INSTR();
+  DECODE_PRINTF("WBINVD\n");
+  TRACE_AND_STEP();
+  DECODE_CLEAR_SEGOVR();
+  END_OF_INSTR();
+}
+
+/****************************************************************************
+ * REMARKS:
+ * Handles opcode 0x0f,0x30
+ * ****************************************************************************/
+static void x86emuOp2_wrmsr(u8 op2)
+{
+  /* dummy implementation, does nothing */
+
+  START_OF_INSTR();
+  DECODE_PRINTF("WRMSR\n");
+  TRACE_AND_STEP();
+  DECODE_CLEAR_SEGOVR();
+  END_OF_INSTR();
+}
+
+/****************************************************************************
+ * REMARKS:
+ * Handles opcode 0x0f,0x32
+ * ****************************************************************************/
+static void x86emuOp2_rdmsr(u8 op2)
+{
+  /* dummy implementation, always return 0 */
+
+  START_OF_INSTR();
+  DECODE_PRINTF("RDMSR\n");
+  TRACE_AND_STEP();
+  M.x86.R_EDX = 0;
+  M.x86.R_EAX = 0;
+  DECODE_CLEAR_SEGOVR();
+  END_OF_INSTR();
+}  
+
+#define xorl(a,b)   (((a) && !(b)) || (!(a) && (b)))
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0x80-0x8F
+****************************************************************************/
+int x86emu_check_jump_condition(u8 op)
+{
+    switch (op) {
+      case 0x0:
+        DECODE_PRINTF("JO\t");
+        return ACCESS_FLAG(F_OF);
+      case 0x1:
+        DECODE_PRINTF("JNO\t");
+        return !ACCESS_FLAG(F_OF);
+        break;
+      case 0x2:
+        DECODE_PRINTF("JB\t");
+        return ACCESS_FLAG(F_CF);
+        break;
+      case 0x3:
+        DECODE_PRINTF("JNB\t");
+        return !ACCESS_FLAG(F_CF);
+        break;
+      case 0x4:
+        DECODE_PRINTF("JZ\t");
+        return ACCESS_FLAG(F_ZF);
+        break;
+      case 0x5:
+        DECODE_PRINTF("JNZ\t");
+        return !ACCESS_FLAG(F_ZF);
+        break;
+      case 0x6:
+        DECODE_PRINTF("JBE\t");
+        return ACCESS_FLAG(F_CF) || ACCESS_FLAG(F_ZF);
+        break;
+      case 0x7:
+        DECODE_PRINTF("JNBE\t");
+        return !(ACCESS_FLAG(F_CF) || ACCESS_FLAG(F_ZF));
+        break;
+      case 0x8:
+        DECODE_PRINTF("JS\t");
+        return ACCESS_FLAG(F_SF);
+        break;
+      case 0x9:
+        DECODE_PRINTF("JNS\t");
+        return !ACCESS_FLAG(F_SF);
+        break;
+      case 0xa:
+        DECODE_PRINTF("JP\t");
+        return ACCESS_FLAG(F_PF);
+        break;
+      case 0xb:
+        DECODE_PRINTF("JNP\t");
+        return !ACCESS_FLAG(F_PF);
+        break;
+      case 0xc:
+        DECODE_PRINTF("JL\t");
+        return xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF));
+        break;
+      case 0xd:
+        DECODE_PRINTF("JNL\t");
+        return !xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF));
+        break;
+      case 0xe:
+        DECODE_PRINTF("JLE\t");
+        return (xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF)) ||
+                ACCESS_FLAG(F_ZF));
+        break;
+      default:
+        DECODE_PRINTF("JNLE\t");
+        return !(xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF)) ||
+                 ACCESS_FLAG(F_ZF));
+    }
+}
+
+static void x86emuOp2_long_jump(u8 op2)
+{
+    s32 target;
+    int cond;
+
+    /* conditional jump to word offset. */
+    START_OF_INSTR();
+    cond = x86emu_check_jump_condition(op2 & 0xF);
+    target = (s16) fetch_word_imm();
+    target += (s16) M.x86.R_IP;
+    DECODE_PRINTF2("%04x\n", target);
+    TRACE_AND_STEP();
+    if (cond) {
+        M.x86.R_IP = (u16)target;
+       JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, " LONG COND ");
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xC8-0xCF
+****************************************************************************/
+static s32 x86emu_bswap(s32 reg)
+{
+   // perform the byte swap
+   s32 temp = reg;
+   reg = (temp & 0xFF000000) >> 24 |
+         (temp & 0xFF0000) >> 8 |
+         (temp & 0xFF00) << 8 |
+         (temp & 0xFF) << 24;
+   return reg;
+}
+
+static void x86emuOp2_bswap(u8 op2)
+{
+    /* byte swap 32 bit register */
+    START_OF_INSTR();
+    DECODE_PRINTF("BSWAP\t");
+    switch (op2) {
+      case 0xc8:
+        DECODE_PRINTF("EAX\n");
+        M.x86.R_EAX = x86emu_bswap(M.x86.R_EAX);
+        break;
+      case 0xc9:
+        DECODE_PRINTF("ECX\n");
+        M.x86.R_ECX = x86emu_bswap(M.x86.R_ECX);
+        break;
+      case 0xca:
+        DECODE_PRINTF("EDX\n");
+        M.x86.R_EDX = x86emu_bswap(M.x86.R_EDX);
+        break;
+      case 0xcb:
+        DECODE_PRINTF("EBX\n");
+        M.x86.R_EBX = x86emu_bswap(M.x86.R_EBX);
+        break;
+      case 0xcc:
+        DECODE_PRINTF("ESP\n");
+        M.x86.R_ESP = x86emu_bswap(M.x86.R_ESP);
+        break;
+      case 0xcd:
+        DECODE_PRINTF("EBP\n");
+        M.x86.R_EBP = x86emu_bswap(M.x86.R_EBP);
+        break;
+      case 0xce:
+        DECODE_PRINTF("ESI\n");
+        M.x86.R_ESI = x86emu_bswap(M.x86.R_ESI);
+        break;
+      case 0xcf:
+        DECODE_PRINTF("EDI\n");
+        M.x86.R_EDI = x86emu_bswap(M.x86.R_EDI);
+        break;
+    }
+    TRACE_AND_STEP();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0x90-0x9F
+****************************************************************************/
+static void x86emuOp2_set_byte(u8 op2)
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8  *destreg;
+    const char *name = 0;
+    int cond = 0;
+
+    START_OF_INSTR();
+    switch (op2) {
+      case 0x90:
+        name = "SETO\t";
+        cond =  ACCESS_FLAG(F_OF);
+        break;
+      case 0x91:
+        name = "SETNO\t";
+        cond = !ACCESS_FLAG(F_OF);
+        break;
+      case 0x92:
+        name = "SETB\t";
+        cond = ACCESS_FLAG(F_CF);
+        break;
+      case 0x93:
+        name = "SETNB\t";
+        cond = !ACCESS_FLAG(F_CF);
+        break;
+      case 0x94:
+        name = "SETZ\t";
+        cond = ACCESS_FLAG(F_ZF);
+        break;
+      case 0x95:
+        name = "SETNZ\t";
+        cond = !ACCESS_FLAG(F_ZF);
+        break;
+      case 0x96:
+        name = "SETBE\t";
+        cond = ACCESS_FLAG(F_CF) || ACCESS_FLAG(F_ZF);
+        break;
+      case 0x97:
+        name = "SETNBE\t";
+        cond = !(ACCESS_FLAG(F_CF) || ACCESS_FLAG(F_ZF));
+        break;
+      case 0x98:
+        name = "SETS\t";
+        cond = ACCESS_FLAG(F_SF);
+        break;
+      case 0x99:
+        name = "SETNS\t";
+        cond = !ACCESS_FLAG(F_SF);
+        break;
+      case 0x9a:
+        name = "SETP\t";
+        cond = ACCESS_FLAG(F_PF);
+        break;
+      case 0x9b:
+        name = "SETNP\t";
+        cond = !ACCESS_FLAG(F_PF);
+        break;
+      case 0x9c:
+        name = "SETL\t";
+        cond = xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF));
+        break;
+      case 0x9d:
+        name = "SETNL\t";
+        cond = !xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF));
+        break;
+      case 0x9e:
+        name = "SETLE\t";
+        cond = (xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF)) ||
+                ACCESS_FLAG(F_ZF));
+        break;
+      case 0x9f:
+        name = "SETNLE\t";
+        cond = !(xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF)) ||
+                 ACCESS_FLAG(F_ZF));
+        break;
+    }
+    DECODE_PRINTF(name);
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        TRACE_AND_STEP();
+        store_data_byte(destoffset, cond ? 0x01 : 0x00);
+    } else {                     /* register to register */
+        destreg = DECODE_RM_BYTE_REGISTER(rl);
+        TRACE_AND_STEP();
+        *destreg = cond ? 0x01 : 0x00;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xa0
+****************************************************************************/
+static void x86emuOp2_push_FS(u8 X86EMU_UNUSED(op2))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("PUSH\tFS\n");
+    TRACE_AND_STEP();
+    push_word(M.x86.R_FS);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xa1
+****************************************************************************/
+static void x86emuOp2_pop_FS(u8 X86EMU_UNUSED(op2))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("POP\tFS\n");
+    TRACE_AND_STEP();
+    M.x86.R_FS = pop_word();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS: CPUID takes EAX/ECX as inputs, writes EAX/EBX/ECX/EDX as output
+Handles opcode 0x0f,0xa2
+****************************************************************************/
+static void x86emuOp2_cpuid(u8 X86EMU_UNUSED(op2))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("CPUID\n");
+    TRACE_AND_STEP();
+    x86emu_cpuid();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xa3
+****************************************************************************/
+static void x86emuOp2_bt_R(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+    int bit,disp;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("BT\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        srcoffset = decode_rmXX_address(mod, rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 srcval;
+            u32 *shiftreg;
+
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0x1F;
+            disp = (s16)*shiftreg >> 5;
+            srcval = fetch_data_long(srcoffset+disp);
+            CONDITIONAL_SET_FLAG(srcval & (0x1 << bit),F_CF);
+        } else {
+            u16 srcval;
+            u16 *shiftreg;
+
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0xF;
+            disp = (s16)*shiftreg >> 4;
+            srcval = fetch_data_word(srcoffset+disp);
+            CONDITIONAL_SET_FLAG(srcval & (0x1 << bit),F_CF);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *srcreg,*shiftreg;
+
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0x1F;
+            CONDITIONAL_SET_FLAG(*srcreg & (0x1 << bit),F_CF);
+        } else {
+            u16 *srcreg,*shiftreg;
+
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0xF;
+            CONDITIONAL_SET_FLAG(*srcreg & (0x1 << bit),F_CF);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xa4
+****************************************************************************/
+static void x86emuOp2_shld_IMM(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8 shift;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("SHLD\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval;
+            u32 *shiftreg;
+
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            shift = fetch_byte_imm();
+            DECODE_PRINTF2("%d\n", shift);
+            TRACE_AND_STEP();
+            destval = fetch_data_long(destoffset);
+            destval = shld_long(destval,*shiftreg,shift);
+            store_data_long(destoffset, destval);
+        } else {
+            u16 destval;
+            u16 *shiftreg;
+
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            shift = fetch_byte_imm();
+            DECODE_PRINTF2("%d\n", shift);
+            TRACE_AND_STEP();
+            destval = fetch_data_word(destoffset);
+            destval = shld_word(destval,*shiftreg,shift);
+            store_data_word(destoffset, destval);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg,*shiftreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            shift = fetch_byte_imm();
+            DECODE_PRINTF2("%d\n", shift);
+            TRACE_AND_STEP();
+            *destreg = shld_long(*destreg,*shiftreg,shift);
+        } else {
+            u16 *destreg,*shiftreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            shift = fetch_byte_imm();
+            DECODE_PRINTF2("%d\n", shift);
+            TRACE_AND_STEP();
+            *destreg = shld_word(*destreg,*shiftreg,shift);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xa5
+****************************************************************************/
+static void x86emuOp2_shld_CL(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("SHLD\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval;
+            u32 *shiftreg;
+
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",CL\n");
+            TRACE_AND_STEP();
+            destval = fetch_data_long(destoffset);
+            destval = shld_long(destval,*shiftreg,M.x86.R_CL);
+            store_data_long(destoffset, destval);
+        } else {
+            u16 destval;
+            u16 *shiftreg;
+
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",CL\n");
+            TRACE_AND_STEP();
+            destval = fetch_data_word(destoffset);
+            destval = shld_word(destval,*shiftreg,M.x86.R_CL);
+            store_data_word(destoffset, destval);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg,*shiftreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",CL\n");
+            TRACE_AND_STEP();
+            *destreg = shld_long(*destreg,*shiftreg,M.x86.R_CL);
+        } else {
+            u16 *destreg,*shiftreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",CL\n");
+            TRACE_AND_STEP();
+            *destreg = shld_word(*destreg,*shiftreg,M.x86.R_CL);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xa8
+****************************************************************************/
+static void x86emuOp2_push_GS(u8 X86EMU_UNUSED(op2))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("PUSH\tGS\n");
+    TRACE_AND_STEP();
+    push_word(M.x86.R_GS);
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xa9
+****************************************************************************/
+static void x86emuOp2_pop_GS(u8 X86EMU_UNUSED(op2))
+{
+    START_OF_INSTR();
+    DECODE_PRINTF("POP\tGS\n");
+    TRACE_AND_STEP();
+    M.x86.R_GS = pop_word();
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xaa
+****************************************************************************/
+static void x86emuOp2_bts_R(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+    int bit,disp;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("BTS\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        srcoffset = decode_rmXX_address(mod, rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 srcval,mask;
+            u32 *shiftreg;
+
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0x1F;
+            disp = (s16)*shiftreg >> 5;
+            srcval = fetch_data_long(srcoffset+disp);
+            mask = (0x1 << bit);
+            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
+            store_data_long(srcoffset+disp, srcval | mask);
+        } else {
+            u16 srcval,mask;
+            u16 *shiftreg;
+
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0xF;
+            disp = (s16)*shiftreg >> 4;
+            srcval = fetch_data_word(srcoffset+disp);
+            mask = (u16)(0x1 << bit);
+            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
+            store_data_word(srcoffset+disp, srcval | mask);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *srcreg,*shiftreg;
+            u32 mask;
+
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0x1F;
+            mask = (0x1 << bit);
+            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
+            *srcreg |= mask;
+        } else {
+            u16 *srcreg,*shiftreg;
+            u16 mask;
+
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0xF;
+            mask = (u16)(0x1 << bit);
+            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
+            *srcreg |= mask;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xac
+****************************************************************************/
+static void x86emuOp2_shrd_IMM(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint destoffset;
+    u8 shift;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("SHLD\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval;
+            u32 *shiftreg;
+
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            shift = fetch_byte_imm();
+            DECODE_PRINTF2("%d\n", shift);
+            TRACE_AND_STEP();
+            destval = fetch_data_long(destoffset);
+            destval = shrd_long(destval,*shiftreg,shift);
+            store_data_long(destoffset, destval);
+        } else {
+            u16 destval;
+            u16 *shiftreg;
+
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            shift = fetch_byte_imm();
+            DECODE_PRINTF2("%d\n", shift);
+            TRACE_AND_STEP();
+            destval = fetch_data_word(destoffset);
+            destval = shrd_word(destval,*shiftreg,shift);
+            store_data_word(destoffset, destval);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg,*shiftreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            shift = fetch_byte_imm();
+            DECODE_PRINTF2("%d\n", shift);
+            TRACE_AND_STEP();
+            *destreg = shrd_long(*destreg,*shiftreg,shift);
+        } else {
+            u16 *destreg,*shiftreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            shift = fetch_byte_imm();
+            DECODE_PRINTF2("%d\n", shift);
+            TRACE_AND_STEP();
+            *destreg = shrd_word(*destreg,*shiftreg,shift);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xad
+****************************************************************************/
+static void x86emuOp2_shrd_CL(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint destoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("SHLD\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",");
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 destval;
+            u32 *shiftreg;
+
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",CL\n");
+            TRACE_AND_STEP();
+            destval = fetch_data_long(destoffset);
+            destval = shrd_long(destval,*shiftreg,M.x86.R_CL);
+            store_data_long(destoffset, destval);
+        } else {
+            u16 destval;
+            u16 *shiftreg;
+
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",CL\n");
+            TRACE_AND_STEP();
+            destval = fetch_data_word(destoffset);
+            destval = shrd_word(destval,*shiftreg,M.x86.R_CL);
+            store_data_word(destoffset, destval);
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg,*shiftreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",CL\n");
+            TRACE_AND_STEP();
+            *destreg = shrd_long(*destreg,*shiftreg,M.x86.R_CL);
+        } else {
+            u16 *destreg,*shiftreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",CL\n");
+            TRACE_AND_STEP();
+            *destreg = shrd_word(*destreg,*shiftreg,M.x86.R_CL);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xaf
+****************************************************************************/
+static void x86emuOp2_imul_R_RM(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("IMUL\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+            u32 srcval;
+            u32 res_lo,res_hi;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcoffset = decode_rmXX_address(mod, rl);
+            srcval = fetch_data_long(srcoffset);
+            TRACE_AND_STEP();
+            imul_long_direct(&res_lo,&res_hi,(s32)*destreg,(s32)srcval);
+            if (res_hi != 0) {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            } else {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            }
+            *destreg = (u32)res_lo;
+        } else {
+            u16 *destreg;
+            u16 srcval;
+            u32 res;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcoffset = decode_rmXX_address(mod, rl);
+            srcval = fetch_data_word(srcoffset);
+            TRACE_AND_STEP();
+            res = (s16)*destreg * (s16)srcval;
+            if (res > 0xFFFF) {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            } else {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            }
+            *destreg = (u16)res;
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg,*srcreg;
+            u32 res_lo,res_hi;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            TRACE_AND_STEP();
+            imul_long_direct(&res_lo,&res_hi,(s32)*destreg,(s32)*srcreg);
+            if (res_hi != 0) {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            } else {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            }
+            *destreg = (u32)res_lo;
+        } else {
+            u16 *destreg,*srcreg;
+            u32 res;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            res = (s16)*destreg * (s16)*srcreg;
+            if (res > 0xFFFF) {
+                SET_FLAG(F_CF);
+                SET_FLAG(F_OF);
+            } else {
+                CLEAR_FLAG(F_CF);
+                CLEAR_FLAG(F_OF);
+            }
+            *destreg = (u16)res;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xb2
+****************************************************************************/
+static void x86emuOp2_lss_R_IMM(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rh, rl;
+    u16 *dstreg;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("LSS\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        dstreg = DECODE_RM_WORD_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *dstreg = fetch_data_word(srcoffset);
+        M.x86.R_SS = fetch_data_word(srcoffset + 2);
+    } else {                     /* register to register */
+        /* UNDEFINED! */
+        TRACE_AND_STEP();
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xb3
+****************************************************************************/
+static void x86emuOp2_btr_R(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+    int bit,disp;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("BTR\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        srcoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",");
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 srcval,mask;
+            u32 *shiftreg;
+
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0x1F;
+            disp = (s16)*shiftreg >> 5;
+            srcval = fetch_data_long(srcoffset+disp);
+            mask = (0x1 << bit);
+            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
+            store_data_long(srcoffset+disp, srcval & ~mask);
+        } else {
+            u16 srcval,mask;
+            u16 *shiftreg;
+
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0xF;
+            disp = (s16)*shiftreg >> 4;
+            srcval = fetch_data_word(srcoffset+disp);
+            mask = (u16)(0x1 << bit);
+            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
+            store_data_word(srcoffset+disp, (u16)(srcval & ~mask));
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *srcreg,*shiftreg;
+            u32 mask;
+
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0x1F;
+            mask = (0x1 << bit);
+            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
+            *srcreg &= ~mask;
+        } else {
+            u16 *srcreg,*shiftreg;
+            u16 mask;
+
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0xF;
+            mask = (u16)(0x1 << bit);
+            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
+            *srcreg &= ~mask;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xb4
+****************************************************************************/
+static void x86emuOp2_lfs_R_IMM(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rh, rl;
+    u16 *dstreg;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("LFS\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        dstreg = DECODE_RM_WORD_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *dstreg = fetch_data_word(srcoffset);
+        M.x86.R_FS = fetch_data_word(srcoffset + 2);
+    } else {                     /* register to register */
+        /* UNDEFINED! */
+        TRACE_AND_STEP();
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xb5
+****************************************************************************/
+static void x86emuOp2_lgs_R_IMM(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rh, rl;
+    u16 *dstreg;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("LGS\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        dstreg = DECODE_RM_WORD_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *dstreg = fetch_data_word(srcoffset);
+        M.x86.R_GS = fetch_data_word(srcoffset + 2);
+    } else {                     /* register to register */
+        /* UNDEFINED! */
+        TRACE_AND_STEP();
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xb6
+****************************************************************************/
+static void x86emuOp2_movzx_byte_R_RM(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOVZX\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+            u32 srcval;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcoffset = decode_rmXX_address(mod, rl);
+            srcval = fetch_data_byte(srcoffset);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = srcval;
+        } else {
+            u16 *destreg;
+            u16 srcval;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcoffset = decode_rmXX_address(mod, rl);
+            srcval = fetch_data_byte(srcoffset);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = srcval;
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+            u8  *srcreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_BYTE_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = *srcreg;
+        } else {
+            u16 *destreg;
+            u8  *srcreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_BYTE_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = *srcreg;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xb7
+****************************************************************************/
+static void x86emuOp2_movzx_word_R_RM(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+    u32 *destreg;
+    u32 srcval;
+    u16 *srcreg;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOVZX\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destreg = DECODE_RM_LONG_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcoffset = decode_rmXX_address(mod, rl);
+        srcval = fetch_data_word(srcoffset);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *destreg = srcval;
+    } else {                     /* register to register */
+        destreg = DECODE_RM_LONG_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcreg = DECODE_RM_WORD_REGISTER(rl);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *destreg = *srcreg;
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xba
+****************************************************************************/
+static void x86emuOp2_btX_I(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+    u8 shift;
+    int bit;
+
+    START_OF_INSTR();
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    switch (rh) {
+    case 4:
+        DECODE_PRINTF("BT\t");
+        break;
+    case 5:
+        DECODE_PRINTF("BTS\t");
+        break;
+    case 6:
+        DECODE_PRINTF("BTR\t");
+        break;
+    case 7:
+        DECODE_PRINTF("BTC\t");
+        break;
+    default:
+        DECODE_PRINTF("ILLEGAL EXTENDED X86 OPCODE\n");
+        TRACE_REGS();
+        printf("%04x:%04x: %02X%02X ILLEGAL EXTENDED X86 OPCODE EXTENSION!\n",
+                M.x86.R_CS, M.x86.R_IP-3,op2, (mod<<6)|(rh<<3)|rl);
+        HALT_SYS();
+    }
+    if (mod < 3) {
+
+        srcoffset = decode_rmXX_address(mod, rl);
+        shift = fetch_byte_imm();
+        DECODE_PRINTF2(",%d\n", shift);
+        TRACE_AND_STEP();
+
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 srcval, mask;
+
+            bit = shift & 0x1F;
+            srcval = fetch_data_long(srcoffset);
+            mask = (0x1 << bit);
+            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
+            switch (rh) {
+            case 5:
+                store_data_long(srcoffset, srcval | mask);
+                break;
+            case 6:
+                store_data_long(srcoffset, srcval & ~mask);
+                break;
+            case 7:
+                store_data_long(srcoffset, srcval ^ mask);
+                break;
+            default:
+                break;
+            }
+        } else {
+            u16 srcval, mask;
+
+            bit = shift & 0xF;
+            srcval = fetch_data_word(srcoffset);
+            mask = (0x1 << bit);
+            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
+            switch (rh) {
+            case 5:
+                store_data_word(srcoffset, srcval | mask);
+                break;
+            case 6:
+                store_data_word(srcoffset, srcval & ~mask);
+                break;
+            case 7:
+                store_data_word(srcoffset, srcval ^ mask);
+                break;
+            default:
+                break;
+            }
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *srcreg;
+            u32 mask;
+
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            shift = fetch_byte_imm();
+            DECODE_PRINTF2(",%d\n", shift);
+            TRACE_AND_STEP();
+            bit = shift & 0x1F;
+            mask = (0x1 << bit);
+            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
+            switch (rh) {
+            case 5:
+                *srcreg |= mask;
+                break;
+            case 6:
+                *srcreg &= ~mask;
+                break;
+            case 7:
+                *srcreg ^= mask;
+                break;
+            default:
+                break;
+            }
+        } else {
+            u16 *srcreg;
+            u16 mask;
+
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            shift = fetch_byte_imm();
+            DECODE_PRINTF2(",%d\n", shift);
+            TRACE_AND_STEP();
+            bit = shift & 0xF;
+            mask = (0x1 << bit);
+            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
+            switch (rh) {
+            case 5:
+                *srcreg |= mask;
+                break;
+            case 6:
+                *srcreg &= ~mask;
+                break;
+            case 7:
+                *srcreg ^= mask;
+                break;
+            default:
+                break;
+            }
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xbb
+****************************************************************************/
+static void x86emuOp2_btc_R(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+    int bit,disp;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("BTC\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        srcoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",");
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 srcval,mask;
+            u32 *shiftreg;
+
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0x1F;
+            disp = (s16)*shiftreg >> 5;
+            srcval = fetch_data_long(srcoffset+disp);
+            mask = (0x1 << bit);
+            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
+            store_data_long(srcoffset+disp, srcval ^ mask);
+        } else {
+            u16 srcval,mask;
+            u16 *shiftreg;
+
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0xF;
+            disp = (s16)*shiftreg >> 4;
+            srcval = fetch_data_word(srcoffset+disp);
+            mask = (u16)(0x1 << bit);
+            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
+            store_data_word(srcoffset+disp, (u16)(srcval ^ mask));
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *srcreg,*shiftreg;
+            u32 mask;
+
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0x1F;
+            mask = (0x1 << bit);
+            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
+            *srcreg ^= mask;
+        } else {
+            u16 *srcreg,*shiftreg;
+            u16 mask;
+
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            shiftreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            bit = *shiftreg & 0xF;
+            mask = (u16)(0x1 << bit);
+            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
+            *srcreg ^= mask;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xbc
+****************************************************************************/
+static void x86emuOp2_bsf(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("BSF\n");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        srcoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",");
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 srcval, *dstreg;
+
+            dstreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            srcval = fetch_data_long(srcoffset);
+            CONDITIONAL_SET_FLAG(srcval == 0, F_ZF);
+            for(*dstreg = 0; *dstreg < 32; (*dstreg)++)
+                if ((srcval >> *dstreg) & 1) break;
+        } else {
+            u16 srcval, *dstreg;
+
+            dstreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            srcval = fetch_data_word(srcoffset);
+            CONDITIONAL_SET_FLAG(srcval == 0, F_ZF);
+            for(*dstreg = 0; *dstreg < 16; (*dstreg)++)
+                if ((srcval >> *dstreg) & 1) break;
+        }
+    } else {             /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *srcreg, *dstreg;
+
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            dstreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            CONDITIONAL_SET_FLAG(*srcreg == 0, F_ZF);
+            for(*dstreg = 0; *dstreg < 32; (*dstreg)++)
+                if ((*srcreg >> *dstreg) & 1) break;
+        } else {
+            u16 *srcreg, *dstreg;
+
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            dstreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            CONDITIONAL_SET_FLAG(*srcreg == 0, F_ZF);
+            for(*dstreg = 0; *dstreg < 16; (*dstreg)++)
+                if ((*srcreg >> *dstreg) & 1) break;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xbd
+****************************************************************************/
+static void x86emuOp2_bsr(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("BSF\n");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        srcoffset = decode_rmXX_address(mod, rl);
+        DECODE_PRINTF(",");
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 srcval, *dstreg;
+
+            dstreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            srcval = fetch_data_long(srcoffset);
+            CONDITIONAL_SET_FLAG(srcval == 0, F_ZF);
+            for(*dstreg = 31; *dstreg > 0; (*dstreg)--)
+                if ((srcval >> *dstreg) & 1) break;
+        } else {
+            u16 srcval, *dstreg;
+
+            dstreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            srcval = fetch_data_word(srcoffset);
+            CONDITIONAL_SET_FLAG(srcval == 0, F_ZF);
+            for(*dstreg = 15; *dstreg > 0; (*dstreg)--)
+                if ((srcval >> *dstreg) & 1) break;
+        }
+    } else {             /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *srcreg, *dstreg;
+
+            srcreg = DECODE_RM_LONG_REGISTER(rl);
+            DECODE_PRINTF(",");
+            dstreg = DECODE_RM_LONG_REGISTER(rh);
+            TRACE_AND_STEP();
+            CONDITIONAL_SET_FLAG(*srcreg == 0, F_ZF);
+            for(*dstreg = 31; *dstreg > 0; (*dstreg)--)
+                if ((*srcreg >> *dstreg) & 1) break;
+        } else {
+            u16 *srcreg, *dstreg;
+
+            srcreg = DECODE_RM_WORD_REGISTER(rl);
+            DECODE_PRINTF(",");
+            dstreg = DECODE_RM_WORD_REGISTER(rh);
+            TRACE_AND_STEP();
+            CONDITIONAL_SET_FLAG(*srcreg == 0, F_ZF);
+            for(*dstreg = 15; *dstreg > 0; (*dstreg)--)
+                if ((*srcreg >> *dstreg) & 1) break;
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xbe
+****************************************************************************/
+static void x86emuOp2_movsx_byte_R_RM(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOVSX\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+            u32 srcval;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcoffset = decode_rmXX_address(mod, rl);
+            srcval = (s32)((s8)fetch_data_byte(srcoffset));
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = srcval;
+        } else {
+            u16 *destreg;
+            u16 srcval;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcoffset = decode_rmXX_address(mod, rl);
+            srcval = (s16)((s8)fetch_data_byte(srcoffset));
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = srcval;
+        }
+    } else {                     /* register to register */
+        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
+            u32 *destreg;
+            u8  *srcreg;
+
+            destreg = DECODE_RM_LONG_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_BYTE_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = (s32)((s8)*srcreg);
+        } else {
+            u16 *destreg;
+            u8  *srcreg;
+
+            destreg = DECODE_RM_WORD_REGISTER(rh);
+            DECODE_PRINTF(",");
+            srcreg = DECODE_RM_BYTE_REGISTER(rl);
+            DECODE_PRINTF("\n");
+            TRACE_AND_STEP();
+            *destreg = (s16)((s8)*srcreg);
+        }
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/****************************************************************************
+REMARKS:
+Handles opcode 0x0f,0xbf
+****************************************************************************/
+static void x86emuOp2_movsx_word_R_RM(u8 X86EMU_UNUSED(op2))
+{
+    int mod, rl, rh;
+    uint srcoffset;
+    u32 *destreg;
+    u32 srcval;
+    u16 *srcreg;
+
+    START_OF_INSTR();
+    DECODE_PRINTF("MOVSX\t");
+    FETCH_DECODE_MODRM(mod, rh, rl);
+    if (mod < 3) {
+        destreg = DECODE_RM_LONG_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcoffset = decode_rmXX_address(mod, rl);
+        srcval = (s32)((s16)fetch_data_word(srcoffset));
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *destreg = srcval;
+    } else {                     /* register to register */
+        destreg = DECODE_RM_LONG_REGISTER(rh);
+        DECODE_PRINTF(",");
+        srcreg = DECODE_RM_WORD_REGISTER(rl);
+        DECODE_PRINTF("\n");
+        TRACE_AND_STEP();
+        *destreg = (s32)((s16)*srcreg);
+    }
+    DECODE_CLEAR_SEGOVR();
+    END_OF_INSTR();
+}
+
+/***************************************************************************
+ * Double byte operation code table:
+ **************************************************************************/
+void (*x86emu_optab2[256])(u8) =
+{
+/*  0x00 */ x86emuOp2_illegal_op,  /* Group F (ring 0 PM)      */
+/*  0x01 */ x86emuOp2_opc_01,      /* Group G (ring 0 PM)      */
+/*  0x02 */ x86emuOp2_illegal_op,  /* lar (ring 0 PM)          */
+/*  0x03 */ x86emuOp2_illegal_op,  /* lsl (ring 0 PM)          */
+/*  0x04 */ x86emuOp2_illegal_op,
+/*  0x05 */ x86emuOp2_illegal_op,  /* loadall (undocumented)   */
+/*  0x06 */ x86emuOp2_illegal_op,  /* clts (ring 0 PM)         */
+/*  0x07 */ x86emuOp2_illegal_op,  /* loadall (undocumented)   */
+/*  0x08 */ x86emuOp2_invd,        /* invd (ring 0 PM)         */
+/*  0x09 */ x86emuOp2_wbinvd,      /* wbinvd (ring 0 PM)       */
+/*  0x0a */ x86emuOp2_illegal_op,
+/*  0x0b */ x86emuOp2_illegal_op,
+/*  0x0c */ x86emuOp2_illegal_op,
+/*  0x0d */ x86emuOp2_illegal_op,
+/*  0x0e */ x86emuOp2_illegal_op,
+/*  0x0f */ x86emuOp2_illegal_op,
+
+/*  0x10 */ x86emuOp2_illegal_op,
+/*  0x11 */ x86emuOp2_illegal_op,
+/*  0x12 */ x86emuOp2_illegal_op,
+/*  0x13 */ x86emuOp2_illegal_op,
+/*  0x14 */ x86emuOp2_illegal_op,
+/*  0x15 */ x86emuOp2_illegal_op,
+/*  0x16 */ x86emuOp2_illegal_op,
+/*  0x17 */ x86emuOp2_illegal_op,
+/*  0x18 */ x86emuOp2_illegal_op,
+/*  0x19 */ x86emuOp2_illegal_op,
+/*  0x1a */ x86emuOp2_illegal_op,
+/*  0x1b */ x86emuOp2_illegal_op,
+/*  0x1c */ x86emuOp2_illegal_op,
+/*  0x1d */ x86emuOp2_illegal_op,
+/*  0x1e */ x86emuOp2_illegal_op,
+/*  0x1f */ x86emuOp2_illegal_op,
+
+/*  0x20 */ x86emuOp2_illegal_op,  /* mov reg32,creg (ring 0 PM) */
+/*  0x21 */ x86emuOp2_illegal_op,  /* mov reg32,dreg (ring 0 PM) */
+/*  0x22 */ x86emuOp2_illegal_op,  /* mov creg,reg32 (ring 0 PM) */
+/*  0x23 */ x86emuOp2_illegal_op,  /* mov dreg,reg32 (ring 0 PM) */
+/*  0x24 */ x86emuOp2_illegal_op,  /* mov reg32,treg (ring 0 PM) */
+/*  0x25 */ x86emuOp2_illegal_op,
+/*  0x26 */ x86emuOp2_illegal_op,  /* mov treg,reg32 (ring 0 PM) */
+/*  0x27 */ x86emuOp2_illegal_op,
+/*  0x28 */ x86emuOp2_illegal_op,
+/*  0x29 */ x86emuOp2_illegal_op,
+/*  0x2a */ x86emuOp2_illegal_op,
+/*  0x2b */ x86emuOp2_illegal_op,
+/*  0x2c */ x86emuOp2_illegal_op,
+/*  0x2d */ x86emuOp2_illegal_op,
+/*  0x2e */ x86emuOp2_illegal_op,
+/*  0x2f */ x86emuOp2_illegal_op,
+
+/*  0x30 */ x86emuOp2_wrmsr,
+/*  0x31 */ x86emuOp2_illegal_op,
+/*  0x32 */ x86emuOp2_rdmsr,
+/*  0x33 */ x86emuOp2_illegal_op,
+/*  0x34 */ x86emuOp2_illegal_op,
+/*  0x35 */ x86emuOp2_illegal_op,
+/*  0x36 */ x86emuOp2_illegal_op,
+/*  0x37 */ x86emuOp2_illegal_op,
+/*  0x38 */ x86emuOp2_illegal_op,
+/*  0x39 */ x86emuOp2_illegal_op,
+/*  0x3a */ x86emuOp2_illegal_op,
+/*  0x3b */ x86emuOp2_illegal_op,
+/*  0x3c */ x86emuOp2_illegal_op,
+/*  0x3d */ x86emuOp2_illegal_op,
+/*  0x3e */ x86emuOp2_illegal_op,
+/*  0x3f */ x86emuOp2_illegal_op,
+
+/*  0x40 */ x86emuOp2_illegal_op,
+/*  0x41 */ x86emuOp2_illegal_op,
+/*  0x42 */ x86emuOp2_illegal_op,
+/*  0x43 */ x86emuOp2_illegal_op,
+/*  0x44 */ x86emuOp2_illegal_op,
+/*  0x45 */ x86emuOp2_illegal_op,
+/*  0x46 */ x86emuOp2_illegal_op,
+/*  0x47 */ x86emuOp2_illegal_op,
+/*  0x48 */ x86emuOp2_illegal_op,
+/*  0x49 */ x86emuOp2_illegal_op,
+/*  0x4a */ x86emuOp2_illegal_op,
+/*  0x4b */ x86emuOp2_illegal_op,
+/*  0x4c */ x86emuOp2_illegal_op,
+/*  0x4d */ x86emuOp2_illegal_op,
+/*  0x4e */ x86emuOp2_illegal_op,
+/*  0x4f */ x86emuOp2_illegal_op,
+
+/*  0x50 */ x86emuOp2_illegal_op,
+/*  0x51 */ x86emuOp2_illegal_op,
+/*  0x52 */ x86emuOp2_illegal_op,
+/*  0x53 */ x86emuOp2_illegal_op,
+/*  0x54 */ x86emuOp2_illegal_op,
+/*  0x55 */ x86emuOp2_illegal_op,
+/*  0x56 */ x86emuOp2_illegal_op,
+/*  0x57 */ x86emuOp2_illegal_op,
+/*  0x58 */ x86emuOp2_illegal_op,
+/*  0x59 */ x86emuOp2_illegal_op,
+/*  0x5a */ x86emuOp2_illegal_op,
+/*  0x5b */ x86emuOp2_illegal_op,
+/*  0x5c */ x86emuOp2_illegal_op,
+/*  0x5d */ x86emuOp2_illegal_op,
+/*  0x5e */ x86emuOp2_illegal_op,
+/*  0x5f */ x86emuOp2_illegal_op,
+
+/*  0x60 */ x86emuOp2_illegal_op,
+/*  0x61 */ x86emuOp2_illegal_op,
+/*  0x62 */ x86emuOp2_illegal_op,
+/*  0x63 */ x86emuOp2_illegal_op,
+/*  0x64 */ x86emuOp2_illegal_op,
+/*  0x65 */ x86emuOp2_illegal_op,
+/*  0x66 */ x86emuOp2_illegal_op,
+/*  0x67 */ x86emuOp2_illegal_op,
+/*  0x68 */ x86emuOp2_illegal_op,
+/*  0x69 */ x86emuOp2_illegal_op,
+/*  0x6a */ x86emuOp2_illegal_op,
+/*  0x6b */ x86emuOp2_illegal_op,
+/*  0x6c */ x86emuOp2_illegal_op,
+/*  0x6d */ x86emuOp2_illegal_op,
+/*  0x6e */ x86emuOp2_illegal_op,
+/*  0x6f */ x86emuOp2_illegal_op,
+
+/*  0x70 */ x86emuOp2_illegal_op,
+/*  0x71 */ x86emuOp2_illegal_op,
+/*  0x72 */ x86emuOp2_illegal_op,
+/*  0x73 */ x86emuOp2_illegal_op,
+/*  0x74 */ x86emuOp2_illegal_op,
+/*  0x75 */ x86emuOp2_illegal_op,
+/*  0x76 */ x86emuOp2_illegal_op,
+/*  0x77 */ x86emuOp2_illegal_op,
+/*  0x78 */ x86emuOp2_illegal_op,
+/*  0x79 */ x86emuOp2_illegal_op,
+/*  0x7a */ x86emuOp2_illegal_op,
+/*  0x7b */ x86emuOp2_illegal_op,
+/*  0x7c */ x86emuOp2_illegal_op,
+/*  0x7d */ x86emuOp2_illegal_op,
+/*  0x7e */ x86emuOp2_illegal_op,
+/*  0x7f */ x86emuOp2_illegal_op,
+
+/*  0x80 */ x86emuOp2_long_jump,
+/*  0x81 */ x86emuOp2_long_jump,
+/*  0x82 */ x86emuOp2_long_jump,
+/*  0x83 */ x86emuOp2_long_jump,
+/*  0x84 */ x86emuOp2_long_jump,
+/*  0x85 */ x86emuOp2_long_jump,
+/*  0x86 */ x86emuOp2_long_jump,
+/*  0x87 */ x86emuOp2_long_jump,
+/*  0x88 */ x86emuOp2_long_jump,
+/*  0x89 */ x86emuOp2_long_jump,
+/*  0x8a */ x86emuOp2_long_jump,
+/*  0x8b */ x86emuOp2_long_jump,
+/*  0x8c */ x86emuOp2_long_jump,
+/*  0x8d */ x86emuOp2_long_jump,
+/*  0x8e */ x86emuOp2_long_jump,
+/*  0x8f */ x86emuOp2_long_jump,
+
+/*  0x90 */ x86emuOp2_set_byte,
+/*  0x91 */ x86emuOp2_set_byte,
+/*  0x92 */ x86emuOp2_set_byte,
+/*  0x93 */ x86emuOp2_set_byte,
+/*  0x94 */ x86emuOp2_set_byte,
+/*  0x95 */ x86emuOp2_set_byte,
+/*  0x96 */ x86emuOp2_set_byte,
+/*  0x97 */ x86emuOp2_set_byte,
+/*  0x98 */ x86emuOp2_set_byte,
+/*  0x99 */ x86emuOp2_set_byte,
+/*  0x9a */ x86emuOp2_set_byte,
+/*  0x9b */ x86emuOp2_set_byte,
+/*  0x9c */ x86emuOp2_set_byte,
+/*  0x9d */ x86emuOp2_set_byte,
+/*  0x9e */ x86emuOp2_set_byte,
+/*  0x9f */ x86emuOp2_set_byte,
+
+/*  0xa0 */ x86emuOp2_push_FS,
+/*  0xa1 */ x86emuOp2_pop_FS,
+/*  0xa2 */ x86emuOp2_cpuid,
+/*  0xa3 */ x86emuOp2_bt_R,
+/*  0xa4 */ x86emuOp2_shld_IMM,
+/*  0xa5 */ x86emuOp2_shld_CL,
+/*  0xa6 */ x86emuOp2_illegal_op,
+/*  0xa7 */ x86emuOp2_illegal_op,
+/*  0xa8 */ x86emuOp2_push_GS,
+/*  0xa9 */ x86emuOp2_pop_GS,
+/*  0xaa */ x86emuOp2_illegal_op,
+/*  0xab */ x86emuOp2_bts_R,
+/*  0xac */ x86emuOp2_shrd_IMM,
+/*  0xad */ x86emuOp2_shrd_CL,
+/*  0xae */ x86emuOp2_illegal_op,
+/*  0xaf */ x86emuOp2_imul_R_RM,
+
+/*  0xb0 */ x86emuOp2_illegal_op,  /* TODO: cmpxchg */
+/*  0xb1 */ x86emuOp2_illegal_op,  /* TODO: cmpxchg */
+/*  0xb2 */ x86emuOp2_lss_R_IMM,
+/*  0xb3 */ x86emuOp2_btr_R,
+/*  0xb4 */ x86emuOp2_lfs_R_IMM,
+/*  0xb5 */ x86emuOp2_lgs_R_IMM,
+/*  0xb6 */ x86emuOp2_movzx_byte_R_RM,
+/*  0xb7 */ x86emuOp2_movzx_word_R_RM,
+/*  0xb8 */ x86emuOp2_illegal_op,
+/*  0xb9 */ x86emuOp2_illegal_op,
+/*  0xba */ x86emuOp2_btX_I,
+/*  0xbb */ x86emuOp2_btc_R,
+/*  0xbc */ x86emuOp2_bsf,
+/*  0xbd */ x86emuOp2_bsr,
+/*  0xbe */ x86emuOp2_movsx_byte_R_RM,
+/*  0xbf */ x86emuOp2_movsx_word_R_RM,
+
+/*  0xc0 */ x86emuOp2_illegal_op,  /* TODO: xadd */
+/*  0xc1 */ x86emuOp2_illegal_op,  /* TODO: xadd */
+/*  0xc2 */ x86emuOp2_illegal_op,
+/*  0xc3 */ x86emuOp2_illegal_op,
+/*  0xc4 */ x86emuOp2_illegal_op,
+/*  0xc5 */ x86emuOp2_illegal_op,
+/*  0xc6 */ x86emuOp2_illegal_op,
+/*  0xc7 */ x86emuOp2_illegal_op,
+/*  0xc8 */ x86emuOp2_bswap,
+/*  0xc9 */ x86emuOp2_bswap,
+/*  0xca */ x86emuOp2_bswap,
+/*  0xcb */ x86emuOp2_bswap,
+/*  0xcc */ x86emuOp2_bswap,
+/*  0xcd */ x86emuOp2_bswap,
+/*  0xce */ x86emuOp2_bswap,
+/*  0xcf */ x86emuOp2_bswap,
+
+/*  0xd0 */ x86emuOp2_illegal_op,
+/*  0xd1 */ x86emuOp2_illegal_op,
+/*  0xd2 */ x86emuOp2_illegal_op,
+/*  0xd3 */ x86emuOp2_illegal_op,
+/*  0xd4 */ x86emuOp2_illegal_op,
+/*  0xd5 */ x86emuOp2_illegal_op,
+/*  0xd6 */ x86emuOp2_illegal_op,
+/*  0xd7 */ x86emuOp2_illegal_op,
+/*  0xd8 */ x86emuOp2_illegal_op,
+/*  0xd9 */ x86emuOp2_illegal_op,
+/*  0xda */ x86emuOp2_illegal_op,
+/*  0xdb */ x86emuOp2_illegal_op,
+/*  0xdc */ x86emuOp2_illegal_op,
+/*  0xdd */ x86emuOp2_illegal_op,
+/*  0xde */ x86emuOp2_illegal_op,
+/*  0xdf */ x86emuOp2_illegal_op,
+
+/*  0xe0 */ x86emuOp2_illegal_op,
+/*  0xe1 */ x86emuOp2_illegal_op,
+/*  0xe2 */ x86emuOp2_illegal_op,
+/*  0xe3 */ x86emuOp2_illegal_op,
+/*  0xe4 */ x86emuOp2_illegal_op,
+/*  0xe5 */ x86emuOp2_illegal_op,
+/*  0xe6 */ x86emuOp2_illegal_op,
+/*  0xe7 */ x86emuOp2_illegal_op,
+/*  0xe8 */ x86emuOp2_illegal_op,
+/*  0xe9 */ x86emuOp2_illegal_op,
+/*  0xea */ x86emuOp2_illegal_op,
+/*  0xeb */ x86emuOp2_illegal_op,
+/*  0xec */ x86emuOp2_illegal_op,
+/*  0xed */ x86emuOp2_illegal_op,
+/*  0xee */ x86emuOp2_illegal_op,
+/*  0xef */ x86emuOp2_illegal_op,
+
+/*  0xf0 */ x86emuOp2_illegal_op,
+/*  0xf1 */ x86emuOp2_illegal_op,
+/*  0xf2 */ x86emuOp2_illegal_op,
+/*  0xf3 */ x86emuOp2_illegal_op,
+/*  0xf4 */ x86emuOp2_illegal_op,
+/*  0xf5 */ x86emuOp2_illegal_op,
+/*  0xf6 */ x86emuOp2_illegal_op,
+/*  0xf7 */ x86emuOp2_illegal_op,
+/*  0xf8 */ x86emuOp2_illegal_op,
+/*  0xf9 */ x86emuOp2_illegal_op,
+/*  0xfa */ x86emuOp2_illegal_op,
+/*  0xfb */ x86emuOp2_illegal_op,
+/*  0xfc */ x86emuOp2_illegal_op,
+/*  0xfd */ x86emuOp2_illegal_op,
+/*  0xfe */ x86emuOp2_illegal_op,
+/*  0xff */ x86emuOp2_illegal_op,
+};
diff --git a/src/devices/oprom/x86emu/prim_asm.h b/src/devices/oprom/x86emu/prim_asm.h
new file mode 100644 (file)
index 0000000..4fa8d55
--- /dev/null
@@ -0,0 +1,971 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            Watcom C++ 10.6 or later
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  Inline assembler versions of the primitive operand
+*                              functions for faster performance. At the moment this is
+*                              x86 inline assembler, but these functions could be replaced
+*                              with native inline assembler for each supported processor
+*                              platform.
+*
+****************************************************************************/
+/* $XFree86: xc/extras/x86emu/src/x86emu/x86emu/prim_asm.h,v 1.3 2000/04/19 15:48:15 tsi Exp $ */
+
+#ifndef        __X86EMU_PRIM_ASM_H
+#define        __X86EMU_PRIM_ASM_H
+
+#ifdef __WATCOMC__
+
+#ifndef        VALIDATE
+#define        __HAVE_INLINE_ASSEMBLER__
+#endif
+
+u32            get_flags_asm(void);
+#pragma aux get_flags_asm =                    \
+       "pushf"                         \
+       "pop    eax"                    \
+       value [eax]                     \
+       modify exact [eax];
+
+u16     aaa_word_asm(u32 *flags,u16 d);
+#pragma aux aaa_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "aaa"                                   \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax]                                 \
+       value [ax]                      \
+       modify exact [ax];
+
+u16     aas_word_asm(u32 *flags,u16 d);
+#pragma aux aas_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "aas"                                   \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax]                                 \
+       value [ax]                      \
+       modify exact [ax];
+
+u16     aad_word_asm(u32 *flags,u16 d);
+#pragma aux aad_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "aad"                                   \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax]                                 \
+       value [ax]                      \
+       modify exact [ax];
+
+u16     aam_word_asm(u32 *flags,u8 d);
+#pragma aux aam_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "aam"                                   \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al]                                 \
+       value [ax]                      \
+       modify exact [ax];
+
+u8      adc_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux adc_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "adc    al,bl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [bl]            \
+       value [al]                      \
+       modify exact [al bl];
+
+u16     adc_word_asm(u32 *flags,u16 d, u16 s);
+#pragma aux adc_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "adc    ax,bx"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [bx]            \
+       value [ax]                      \
+       modify exact [ax bx];
+
+u32     adc_long_asm(u32 *flags,u32 d, u32 s);
+#pragma aux adc_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "adc    eax,ebx"                \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [ebx]          \
+       value [eax]                     \
+       modify exact [eax ebx];
+
+u8      add_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux add_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "add    al,bl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [bl]            \
+       value [al]                      \
+       modify exact [al bl];
+
+u16     add_word_asm(u32 *flags,u16 d, u16 s);
+#pragma aux add_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "add    ax,bx"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [bx]            \
+       value [ax]                      \
+       modify exact [ax bx];
+
+u32     add_long_asm(u32 *flags,u32 d, u32 s);
+#pragma aux add_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "add    eax,ebx"                \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [ebx]          \
+       value [eax]                     \
+       modify exact [eax ebx];
+
+u8      and_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux and_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "and    al,bl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [bl]            \
+       value [al]                      \
+       modify exact [al bl];
+
+u16     and_word_asm(u32 *flags,u16 d, u16 s);
+#pragma aux and_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "and    ax,bx"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [bx]            \
+       value [ax]                      \
+       modify exact [ax bx];
+
+u32     and_long_asm(u32 *flags,u32 d, u32 s);
+#pragma aux and_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "and    eax,ebx"                \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [ebx]          \
+       value [eax]                     \
+       modify exact [eax ebx];
+
+u8      cmp_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux cmp_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "cmp    al,bl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [bl]            \
+       value [al]                      \
+       modify exact [al bl];
+
+u16     cmp_word_asm(u32 *flags,u16 d, u16 s);
+#pragma aux cmp_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "cmp    ax,bx"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [bx]            \
+       value [ax]                      \
+       modify exact [ax bx];
+
+u32     cmp_long_asm(u32 *flags,u32 d, u32 s);
+#pragma aux cmp_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "cmp    eax,ebx"                \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [ebx]          \
+       value [eax]                     \
+       modify exact [eax ebx];
+
+u8      daa_byte_asm(u32 *flags,u8 d);
+#pragma aux daa_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "daa"                                   \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al]                         \
+       value [al]                      \
+       modify exact [al];
+
+u8      das_byte_asm(u32 *flags,u8 d);
+#pragma aux das_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "das"                                   \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al]                         \
+       value [al]                      \
+       modify exact [al];
+
+u8      dec_byte_asm(u32 *flags,u8 d);
+#pragma aux dec_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "dec    al"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al]                         \
+       value [al]                      \
+       modify exact [al];
+
+u16     dec_word_asm(u32 *flags,u16 d);
+#pragma aux dec_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "dec    ax"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax]                         \
+       value [ax]                      \
+       modify exact [ax];
+
+u32     dec_long_asm(u32 *flags,u32 d);
+#pragma aux dec_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "dec    eax"                    \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax]                        \
+       value [eax]                     \
+       modify exact [eax];
+
+u8      inc_byte_asm(u32 *flags,u8 d);
+#pragma aux inc_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "inc    al"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al]                         \
+       value [al]                      \
+       modify exact [al];
+
+u16     inc_word_asm(u32 *flags,u16 d);
+#pragma aux inc_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "inc    ax"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax]                         \
+       value [ax]                      \
+       modify exact [ax];
+
+u32     inc_long_asm(u32 *flags,u32 d);
+#pragma aux inc_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "inc    eax"                    \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax]                        \
+       value [eax]                     \
+       modify exact [eax];
+
+u8      or_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux or_byte_asm =                      \
+       "push   [edi]"                          \
+       "popf"                          \
+       "or     al,bl"                          \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [bl]            \
+       value [al]                      \
+       modify exact [al bl];
+
+u16     or_word_asm(u32 *flags,u16 d, u16 s);
+#pragma aux or_word_asm =                      \
+       "push   [edi]"                          \
+       "popf"                          \
+       "or     ax,bx"                          \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [bx]            \
+       value [ax]                      \
+       modify exact [ax bx];
+
+u32     or_long_asm(u32 *flags,u32 d, u32 s);
+#pragma aux or_long_asm =                      \
+       "push   [edi]"                          \
+       "popf"                          \
+       "or     eax,ebx"                        \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [ebx]          \
+       value [eax]                     \
+       modify exact [eax ebx];
+
+u8      neg_byte_asm(u32 *flags,u8 d);
+#pragma aux neg_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "neg    al"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al]                         \
+       value [al]                      \
+       modify exact [al];
+
+u16     neg_word_asm(u32 *flags,u16 d);
+#pragma aux neg_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "neg    ax"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax]                         \
+       value [ax]                      \
+       modify exact [ax];
+
+u32     neg_long_asm(u32 *flags,u32 d);
+#pragma aux neg_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "neg    eax"                    \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax]                        \
+       value [eax]                     \
+       modify exact [eax];
+
+u8      not_byte_asm(u32 *flags,u8 d);
+#pragma aux not_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "not    al"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al]                         \
+       value [al]                      \
+       modify exact [al];
+
+u16     not_word_asm(u32 *flags,u16 d);
+#pragma aux not_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "not    ax"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax]                         \
+       value [ax]                      \
+       modify exact [ax];
+
+u32     not_long_asm(u32 *flags,u32 d);
+#pragma aux not_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "not    eax"                    \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax]                        \
+       value [eax]                     \
+       modify exact [eax];
+
+u8      rcl_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux rcl_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "rcl    al,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [cl]            \
+       value [al]                      \
+       modify exact [al cl];
+
+u16     rcl_word_asm(u32 *flags,u16 d, u8 s);
+#pragma aux rcl_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "rcl    ax,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [cl]            \
+       value [ax]                      \
+       modify exact [ax cl];
+
+u32     rcl_long_asm(u32 *flags,u32 d, u8 s);
+#pragma aux rcl_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "rcl    eax,cl"                 \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [cl]           \
+       value [eax]                     \
+       modify exact [eax cl];
+
+u8      rcr_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux rcr_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "rcr    al,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [cl]            \
+       value [al]                      \
+       modify exact [al cl];
+
+u16     rcr_word_asm(u32 *flags,u16 d, u8 s);
+#pragma aux rcr_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "rcr    ax,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [cl]            \
+       value [ax]                      \
+       modify exact [ax cl];
+
+u32     rcr_long_asm(u32 *flags,u32 d, u8 s);
+#pragma aux rcr_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "rcr    eax,cl"                 \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [cl]           \
+       value [eax]                     \
+       modify exact [eax cl];
+
+u8      rol_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux rol_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "rol    al,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [cl]            \
+       value [al]                      \
+       modify exact [al cl];
+
+u16     rol_word_asm(u32 *flags,u16 d, u8 s);
+#pragma aux rol_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "rol    ax,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [cl]            \
+       value [ax]                      \
+       modify exact [ax cl];
+
+u32     rol_long_asm(u32 *flags,u32 d, u8 s);
+#pragma aux rol_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "rol    eax,cl"                 \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [cl]           \
+       value [eax]                     \
+       modify exact [eax cl];
+
+u8      ror_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux ror_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "ror    al,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [cl]            \
+       value [al]                      \
+       modify exact [al cl];
+
+u16     ror_word_asm(u32 *flags,u16 d, u8 s);
+#pragma aux ror_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "ror    ax,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [cl]            \
+       value [ax]                      \
+       modify exact [ax cl];
+
+u32     ror_long_asm(u32 *flags,u32 d, u8 s);
+#pragma aux ror_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "ror    eax,cl"                 \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [cl]           \
+       value [eax]                     \
+       modify exact [eax cl];
+
+u8      shl_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux shl_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "shl    al,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [cl]            \
+       value [al]                      \
+       modify exact [al cl];
+
+u16     shl_word_asm(u32 *flags,u16 d, u8 s);
+#pragma aux shl_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "shl    ax,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [cl]            \
+       value [ax]                      \
+       modify exact [ax cl];
+
+u32     shl_long_asm(u32 *flags,u32 d, u8 s);
+#pragma aux shl_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "shl    eax,cl"                 \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [cl]           \
+       value [eax]                     \
+       modify exact [eax cl];
+
+u8      shr_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux shr_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "shr    al,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [cl]            \
+       value [al]                      \
+       modify exact [al cl];
+
+u16     shr_word_asm(u32 *flags,u16 d, u8 s);
+#pragma aux shr_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "shr    ax,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [cl]            \
+       value [ax]                      \
+       modify exact [ax cl];
+
+u32     shr_long_asm(u32 *flags,u32 d, u8 s);
+#pragma aux shr_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "shr    eax,cl"                 \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [cl]           \
+       value [eax]                     \
+       modify exact [eax cl];
+
+u8      sar_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux sar_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "sar    al,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [cl]            \
+       value [al]                      \
+       modify exact [al cl];
+
+u16     sar_word_asm(u32 *flags,u16 d, u8 s);
+#pragma aux sar_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "sar    ax,cl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [cl]            \
+       value [ax]                      \
+       modify exact [ax cl];
+
+u32     sar_long_asm(u32 *flags,u32 d, u8 s);
+#pragma aux sar_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "sar    eax,cl"                 \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [cl]           \
+       value [eax]                     \
+       modify exact [eax cl];
+
+u16            shld_word_asm(u32 *flags,u16 d, u16 fill, u8 s);
+#pragma aux shld_word_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "shld   ax,dx,cl"               \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [dx] [cl]       \
+       value [ax]                      \
+       modify exact [ax dx cl];
+
+u32     shld_long_asm(u32 *flags,u32 d, u32 fill, u8 s);
+#pragma aux shld_long_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "shld   eax,edx,cl"             \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [edx] [cl]     \
+       value [eax]                     \
+       modify exact [eax edx cl];
+
+u16            shrd_word_asm(u32 *flags,u16 d, u16 fill, u8 s);
+#pragma aux shrd_word_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "shrd   ax,dx,cl"               \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [dx] [cl]       \
+       value [ax]                      \
+       modify exact [ax dx cl];
+
+u32     shrd_long_asm(u32 *flags,u32 d, u32 fill, u8 s);
+#pragma aux shrd_long_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "shrd   eax,edx,cl"             \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [edx] [cl]     \
+       value [eax]                     \
+       modify exact [eax edx cl];
+
+u8      sbb_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux sbb_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "sbb    al,bl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [bl]            \
+       value [al]                      \
+       modify exact [al bl];
+
+u16     sbb_word_asm(u32 *flags,u16 d, u16 s);
+#pragma aux sbb_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "sbb    ax,bx"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [bx]            \
+       value [ax]                      \
+       modify exact [ax bx];
+
+u32     sbb_long_asm(u32 *flags,u32 d, u32 s);
+#pragma aux sbb_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "sbb    eax,ebx"                \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [ebx]          \
+       value [eax]                     \
+       modify exact [eax ebx];
+
+u8      sub_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux sub_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "sub    al,bl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [bl]            \
+       value [al]                      \
+       modify exact [al bl];
+
+u16     sub_word_asm(u32 *flags,u16 d, u16 s);
+#pragma aux sub_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "sub    ax,bx"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [bx]            \
+       value [ax]                      \
+       modify exact [ax bx];
+
+u32     sub_long_asm(u32 *flags,u32 d, u32 s);
+#pragma aux sub_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "sub    eax,ebx"                \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [ebx]          \
+       value [eax]                     \
+       modify exact [eax ebx];
+
+void   test_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux test_byte_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "test   al,bl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [bl]            \
+       modify exact [al bl];
+
+void   test_word_asm(u32 *flags,u16 d, u16 s);
+#pragma aux test_word_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "test   ax,bx"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [bx]            \
+       modify exact [ax bx];
+
+void   test_long_asm(u32 *flags,u32 d, u32 s);
+#pragma aux test_long_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "test   eax,ebx"                \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [ebx]          \
+       modify exact [eax ebx];
+
+u8      xor_byte_asm(u32 *flags,u8 d, u8 s);
+#pragma aux xor_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "xor    al,bl"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [al] [bl]            \
+       value [al]                      \
+       modify exact [al bl];
+
+u16     xor_word_asm(u32 *flags,u16 d, u16 s);
+#pragma aux xor_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "xor    ax,bx"                  \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [ax] [bx]            \
+       value [ax]                      \
+       modify exact [ax bx];
+
+u32     xor_long_asm(u32 *flags,u32 d, u32 s);
+#pragma aux xor_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "xor    eax,ebx"                \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       parm [edi] [eax] [ebx]          \
+       value [eax]                     \
+       modify exact [eax ebx];
+
+void    imul_byte_asm(u32 *flags,u16 *ax,u8 d,u8 s);
+#pragma aux imul_byte_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "imul   bl"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],ax"                               \
+       parm [edi] [esi] [al] [bl]      \
+       modify exact [esi ax bl];
+
+void    imul_word_asm(u32 *flags,u16 *ax,u16 *dx,u16 d,u16 s);
+#pragma aux imul_word_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "imul   bx"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],ax"                               \
+       "mov    [ecx],dx"                               \
+       parm [edi] [esi] [ecx] [ax] [bx]\
+       modify exact [esi edi ax bx dx];
+
+void    imul_long_asm(u32 *flags,u32 *eax,u32 *edx,u32 d,u32 s);
+#pragma aux imul_long_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "imul   ebx"                    \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],eax"                              \
+       "mov    [ecx],edx"                              \
+       parm [edi] [esi] [ecx] [eax] [ebx] \
+       modify exact [esi edi eax ebx edx];
+
+void    mul_byte_asm(u32 *flags,u16 *ax,u8 d,u8 s);
+#pragma aux mul_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "mul    bl"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],ax"                               \
+       parm [edi] [esi] [al] [bl]      \
+       modify exact [esi ax bl];
+
+void    mul_word_asm(u32 *flags,u16 *ax,u16 *dx,u16 d,u16 s);
+#pragma aux mul_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "mul    bx"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],ax"                               \
+       "mov    [ecx],dx"                               \
+       parm [edi] [esi] [ecx] [ax] [bx]\
+       modify exact [esi edi ax bx dx];
+
+void    mul_long_asm(u32 *flags,u32 *eax,u32 *edx,u32 d,u32 s);
+#pragma aux mul_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "mul    ebx"                    \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],eax"                              \
+       "mov    [ecx],edx"                              \
+       parm [edi] [esi] [ecx] [eax] [ebx] \
+       modify exact [esi edi eax ebx edx];
+
+void   idiv_byte_asm(u32 *flags,u8 *al,u8 *ah,u16 d,u8 s);
+#pragma aux idiv_byte_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "idiv   bl"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],al"                               \
+       "mov    [ecx],ah"                               \
+       parm [edi] [esi] [ecx] [ax] [bl]\
+       modify exact [esi edi ax bl];
+
+void   idiv_word_asm(u32 *flags,u16 *ax,u16 *dx,u16 dlo,u16 dhi,u16 s);
+#pragma aux idiv_word_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "idiv   bx"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],ax"                               \
+       "mov    [ecx],dx"                               \
+       parm [edi] [esi] [ecx] [ax] [dx] [bx]\
+       modify exact [esi edi ax dx bx];
+
+void   idiv_long_asm(u32 *flags,u32 *eax,u32 *edx,u32 dlo,u32 dhi,u32 s);
+#pragma aux idiv_long_asm =                    \
+       "push   [edi]"                          \
+       "popf"                          \
+       "idiv   ebx"                    \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],eax"                              \
+       "mov    [ecx],edx"                              \
+       parm [edi] [esi] [ecx] [eax] [edx] [ebx]\
+       modify exact [esi edi eax edx ebx];
+
+void   div_byte_asm(u32 *flags,u8 *al,u8 *ah,u16 d,u8 s);
+#pragma aux div_byte_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "div    bl"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],al"                               \
+       "mov    [ecx],ah"                               \
+       parm [edi] [esi] [ecx] [ax] [bl]\
+       modify exact [esi edi ax bl];
+
+void   div_word_asm(u32 *flags,u16 *ax,u16 *dx,u16 dlo,u16 dhi,u16 s);
+#pragma aux div_word_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "div    bx"                     \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],ax"                               \
+       "mov    [ecx],dx"                               \
+       parm [edi] [esi] [ecx] [ax] [dx] [bx]\
+       modify exact [esi edi ax dx bx];
+
+void   div_long_asm(u32 *flags,u32 *eax,u32 *edx,u32 dlo,u32 dhi,u32 s);
+#pragma aux div_long_asm =                     \
+       "push   [edi]"                          \
+       "popf"                          \
+       "div    ebx"                    \
+       "pushf"                         \
+       "pop    [edi]"                          \
+       "mov    [esi],eax"                              \
+       "mov    [ecx],edx"                              \
+       parm [edi] [esi] [ecx] [eax] [edx] [ebx]\
+       modify exact [esi edi eax edx ebx];
+
+#endif
+
+#endif /* __X86EMU_PRIM_ASM_H */
diff --git a/src/devices/oprom/x86emu/prim_ops.c b/src/devices/oprom/x86emu/prim_ops.c
new file mode 100644 (file)
index 0000000..20e7597
--- /dev/null
@@ -0,0 +1,2496 @@
+/****************************************************************************
+*
+*                       Realmode X86 Emulator Library
+*
+*               Copyright (C) 1991-2004 SciTech Software, Inc.
+*                    Copyright (C) David Mosberger-Tang
+*                      Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:     ANSI C
+* Environment:  Any
+* Developer:    Kendall Bennett
+*
+* Description:  This file contains the code to implement the primitive
+*               machine operations used by the emulation code in ops.c
+*
+* Carry Chain Calculation
+*
+* This represents a somewhat expensive calculation which is
+* apparently required to emulate the setting of the OF and AF flag.
+* The latter is not so important, but the former is.  The overflow
+* flag is the XOR of the top two bits of the carry chain for an
+* addition (similar for subtraction).  Since we do not want to
+* simulate the addition in a bitwise manner, we try to calculate the
+* carry chain given the two operands and the result.
+*
+* So, given the following table, which represents the addition of two
+* bits, we can derive a formula for the carry chain.
+*
+* a   b   cin   r     cout
+* 0   0   0     0     0
+* 0   0   1     1     0
+* 0   1   0     1     0
+* 0   1   1     0     1
+* 1   0   0     1     0
+* 1   0   1     0     1
+* 1   1   0     0     1
+* 1   1   1     1     1
+*
+* Construction of table for cout:
+*
+* ab
+* r  \  00   01   11  10
+* |------------------
+* 0  |   0    1    1   1
+* 1  |   0    0    1   0
+*
+* By inspection, one gets:  cc = ab +  r'(a + b)
+*
+* That represents alot of operations, but NO CHOICE....
+*
+* Borrow Chain Calculation.
+*
+* The following table represents the subtraction of two bits, from
+* which we can derive a formula for the borrow chain.
+*
+* a   b   bin   r     bout
+* 0   0   0     0     0
+* 0   0   1     1     1
+* 0   1   0     1     1
+* 0   1   1     0     1
+* 1   0   0     1     0
+* 1   0   1     0     0
+* 1   1   0     0     0
+* 1   1   1     1     1
+*
+* Construction of table for cout:
+*
+* ab
+* r  \  00   01   11  10
+* |------------------
+* 0  |   0    1    0   0
+* 1  |   1    1    1   0
+*
+* By inspection, one gets:  bc = a'b +  r(a' + b)
+*
+****************************************************************************/
+
+#define PRIM_OPS_NO_REDEFINE_ASM
+#include "x86emui.h"
+
+#define abs(x) ({                               \
+                int __x = (x);                  \
+                (__x < 0) ? -__x : __x;         \
+        })
+
+#define labs(x) ({                              \
+                long __x = (x);                 \
+                (__x < 0) ? -__x : __x;         \
+        })
+
+/*------------------------- Global Variables ------------------------------*/
+
+static u32 x86emu_parity_tab[8] =
+{
+    0x96696996,
+    0x69969669,
+    0x69969669,
+    0x96696996,
+    0x69969669,
+    0x96696996,
+    0x96696996,
+    0x69969669,
+};
+
+#define PARITY(x)   (((x86emu_parity_tab[(x) / 32] >> ((x) % 32)) & 1) == 0)
+#define XOR2(x)     (((x) ^ ((x)>>1)) & 0x1)
+
+/*----------------------------- Implementation ----------------------------*/
+
+
+/*--------- Side effects helper functions -------*/
+
+/****************************************************************************
+REMARKS:
+implements side efects for byte operations that don't overflow
+****************************************************************************/
+
+static void set_parity_flag(u32 res)
+{
+    CONDITIONAL_SET_FLAG(PARITY(res & 0xFF), F_PF);
+}
+
+static void set_szp_flags_8(u8 res)
+{
+    CONDITIONAL_SET_FLAG(res & 0x80, F_SF);
+    CONDITIONAL_SET_FLAG(res == 0, F_ZF);
+    set_parity_flag(res);
+}
+
+static void set_szp_flags_16(u16 res)
+{
+    CONDITIONAL_SET_FLAG(res & 0x8000, F_SF);
+    CONDITIONAL_SET_FLAG(res == 0, F_ZF);
+    set_parity_flag(res);
+}
+
+static void set_szp_flags_32(u32 res)
+{
+    CONDITIONAL_SET_FLAG(res & 0x80000000, F_SF);
+    CONDITIONAL_SET_FLAG(res == 0, F_ZF);
+    set_parity_flag(res);
+}
+
+static void no_carry_byte_side_eff(u8 res)
+{
+    CLEAR_FLAG(F_OF);
+    CLEAR_FLAG(F_CF);
+    CLEAR_FLAG(F_AF);
+    set_szp_flags_8(res);
+}
+
+static void no_carry_word_side_eff(u16 res)
+{
+    CLEAR_FLAG(F_OF);
+    CLEAR_FLAG(F_CF);
+    CLEAR_FLAG(F_AF);
+    set_szp_flags_16(res);
+}
+
+static void no_carry_long_side_eff(u32 res)
+{
+    CLEAR_FLAG(F_OF);
+    CLEAR_FLAG(F_CF);
+    CLEAR_FLAG(F_AF);
+    set_szp_flags_32(res);
+}
+
+static void calc_carry_chain(int bits, u32 d, u32 s, u32 res, int set_carry)
+{
+    u32 cc;
+
+    cc = (s & d) | ((~res) & (s | d));
+    CONDITIONAL_SET_FLAG(XOR2(cc >> (bits - 2)), F_OF);
+    CONDITIONAL_SET_FLAG(cc & 0x8, F_AF);
+    if (set_carry) {
+        CONDITIONAL_SET_FLAG(res & (1 << bits), F_CF);
+    }
+}
+
+static void calc_borrow_chain(int bits, u32 d, u32 s, u32 res, int set_carry)
+{
+    u32 bc;
+
+    bc = (res & (~d | s)) | (~d & s);
+    CONDITIONAL_SET_FLAG(XOR2(bc >> (bits - 2)), F_OF);
+    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
+    if (set_carry) {
+        CONDITIONAL_SET_FLAG(bc & (1 << (bits - 1)), F_CF);
+    }
+}
+
+/****************************************************************************
+REMARKS:
+Implements the AAA instruction and side effects.
+****************************************************************************/
+u16 aaa_word(u16 d)
+{
+    u16 res;
+    if ((d & 0xf) > 0x9 || ACCESS_FLAG(F_AF)) {
+        d += 0x6;
+        d += 0x100;
+        SET_FLAG(F_AF);
+        SET_FLAG(F_CF);
+    } else {
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_AF);
+    }
+    res = (u16)(d & 0xFF0F);
+    set_szp_flags_16(res);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the AAA instruction and side effects.
+****************************************************************************/
+u16 aas_word(u16 d)
+{
+    u16 res;
+    if ((d & 0xf) > 0x9 || ACCESS_FLAG(F_AF)) {
+        d -= 0x6;
+        d -= 0x100;
+        SET_FLAG(F_AF);
+        SET_FLAG(F_CF);
+    } else {
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_AF);
+    }
+    res = (u16)(d & 0xFF0F);
+    set_szp_flags_16(res);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the AAD instruction and side effects.
+****************************************************************************/
+u16 aad_word(u16 d)
+{
+    u16 l;
+    u8 hb, lb;
+
+    hb = (u8)((d >> 8) & 0xff);
+    lb = (u8)((d & 0xff));
+    l = (u16)((lb + 10 * hb) & 0xFF);
+
+    no_carry_byte_side_eff(l & 0xFF);
+    return l;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the AAM instruction and side effects.
+****************************************************************************/
+u16 aam_word(u8 d)
+{
+    u16 h, l;
+
+    h = (u16)(d / 10);
+    l = (u16)(d % 10);
+    l |= (u16)(h << 8);
+
+    no_carry_byte_side_eff(l & 0xFF);
+    return l;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ADC instruction and side effects.
+****************************************************************************/
+u8 adc_byte(u8 d, u8 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d + s;
+    if (ACCESS_FLAG(F_CF)) res++;
+
+    set_szp_flags_8(res);
+    calc_carry_chain(8,s,d,res,1);
+
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ADC instruction and side effects.
+****************************************************************************/
+u16 adc_word(u16 d, u16 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d + s;
+    if (ACCESS_FLAG(F_CF))
+        res++;
+
+    set_szp_flags_16((u16)res);
+    calc_carry_chain(16,s,d,res,1);
+
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ADC instruction and side effects.
+****************************************************************************/
+u32 adc_long(u32 d, u32 s)
+{
+    u32 lo;    /* all operands in native machine order */
+    u32 hi;
+    u32 res;
+
+    lo = (d & 0xFFFF) + (s & 0xFFFF);
+    res = d + s;
+
+    if (ACCESS_FLAG(F_CF)) {
+        lo++;
+        res++;
+    }
+
+    hi = (lo >> 16) + (d >> 16) + (s >> 16);
+
+    set_szp_flags_32(res);
+    calc_carry_chain(32,s,d,res,0);
+
+    CONDITIONAL_SET_FLAG(hi & 0x10000, F_CF);
+
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ADD instruction and side effects.
+****************************************************************************/
+u8 add_byte(u8 d, u8 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d + s;
+    set_szp_flags_8((u8)res);
+    calc_carry_chain(8,s,d,res,1);
+
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ADD instruction and side effects.
+****************************************************************************/
+u16 add_word(u16 d, u16 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d + s;
+    set_szp_flags_16((u16)res);
+    calc_carry_chain(16,s,d,res,1);
+
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ADD instruction and side effects.
+****************************************************************************/
+u32 add_long(u32 d, u32 s)
+{
+    u32 res;
+
+    res = d + s;
+    set_szp_flags_32(res);
+    calc_carry_chain(32,s,d,res,0);
+
+    CONDITIONAL_SET_FLAG(res < d || res < s, F_CF);
+
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the AND instruction and side effects.
+****************************************************************************/
+u8 and_byte(u8 d, u8 s)
+{
+    u8 res;    /* all operands in native machine order */
+
+    res = d & s;
+
+    no_carry_byte_side_eff(res);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the AND instruction and side effects.
+****************************************************************************/
+u16 and_word(u16 d, u16 s)
+{
+    u16 res;   /* all operands in native machine order */
+
+    res = d & s;
+
+    no_carry_word_side_eff(res);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the AND instruction and side effects.
+****************************************************************************/
+u32 and_long(u32 d, u32 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d & s;
+    no_carry_long_side_eff(res);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the CMP instruction and side effects.
+****************************************************************************/
+u8 cmp_byte(u8 d, u8 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d - s;
+    set_szp_flags_8((u8)res);
+    calc_borrow_chain(8, d, s, res, 1);
+
+    return d;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the CMP instruction and side effects.
+****************************************************************************/
+u16 cmp_word(u16 d, u16 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d - s;
+    set_szp_flags_16((u16)res);
+    calc_borrow_chain(16, d, s, res, 1);
+
+    return d;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the CMP instruction and side effects.
+****************************************************************************/
+u32 cmp_long(u32 d, u32 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d - s;
+    set_szp_flags_32(res);
+    calc_borrow_chain(32, d, s, res, 1);
+
+    return d;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the DAA instruction and side effects.
+****************************************************************************/
+u8 daa_byte(u8 d)
+{
+    u32 res = d;
+    if ((d & 0xf) > 9 || ACCESS_FLAG(F_AF)) {
+        res += 6;
+        SET_FLAG(F_AF);
+    }
+    if (res > 0x9F || ACCESS_FLAG(F_CF)) {
+        res += 0x60;
+        SET_FLAG(F_CF);
+    }
+    set_szp_flags_8((u8)res);
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the DAS instruction and side effects.
+****************************************************************************/
+u8 das_byte(u8 d)
+{
+    if ((d & 0xf) > 9 || ACCESS_FLAG(F_AF)) {
+        d -= 6;
+        SET_FLAG(F_AF);
+    }
+    if (d > 0x9F || ACCESS_FLAG(F_CF)) {
+        d -= 0x60;
+        SET_FLAG(F_CF);
+    }
+    set_szp_flags_8(d);
+    return d;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the DEC instruction and side effects.
+****************************************************************************/
+u8 dec_byte(u8 d)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d - 1;
+    set_szp_flags_8((u8)res);
+    calc_borrow_chain(8, d, 1, res, 0);
+
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the DEC instruction and side effects.
+****************************************************************************/
+u16 dec_word(u16 d)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d - 1;
+    set_szp_flags_16((u16)res);
+    calc_borrow_chain(16, d, 1, res, 0);
+
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the DEC instruction and side effects.
+****************************************************************************/
+u32 dec_long(u32 d)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d - 1;
+
+    set_szp_flags_32(res);
+    calc_borrow_chain(32, d, 1, res, 0);
+
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the INC instruction and side effects.
+****************************************************************************/
+u8 inc_byte(u8 d)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d + 1;
+    set_szp_flags_8((u8)res);
+    calc_carry_chain(8, d, 1, res, 0);
+
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the INC instruction and side effects.
+****************************************************************************/
+u16 inc_word(u16 d)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d + 1;
+    set_szp_flags_16((u16)res);
+    calc_carry_chain(16, d, 1, res, 0);
+
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the INC instruction and side effects.
+****************************************************************************/
+u32 inc_long(u32 d)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d + 1;
+    set_szp_flags_32(res);
+    calc_carry_chain(32, d, 1, res, 0);
+
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the OR instruction and side effects.
+****************************************************************************/
+u8 or_byte(u8 d, u8 s)
+{
+    u8 res;    /* all operands in native machine order */
+
+    res = d | s;
+    no_carry_byte_side_eff(res);
+
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the OR instruction and side effects.
+****************************************************************************/
+u16 or_word(u16 d, u16 s)
+{
+    u16 res;   /* all operands in native machine order */
+
+    res = d | s;
+    no_carry_word_side_eff(res);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the OR instruction and side effects.
+****************************************************************************/
+u32 or_long(u32 d, u32 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d | s;
+    no_carry_long_side_eff(res);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the OR instruction and side effects.
+****************************************************************************/
+u8 neg_byte(u8 s)
+{
+    u8 res;
+
+    CONDITIONAL_SET_FLAG(s != 0, F_CF);
+    res = (u8)-s;
+    set_szp_flags_8(res);
+    calc_borrow_chain(8, 0, s, res, 0);
+
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the OR instruction and side effects.
+****************************************************************************/
+u16 neg_word(u16 s)
+{
+    u16 res;
+
+    CONDITIONAL_SET_FLAG(s != 0, F_CF);
+    res = (u16)-s;
+    set_szp_flags_16((u16)res);
+    calc_borrow_chain(16, 0, s, res, 0);
+
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the OR instruction and side effects.
+****************************************************************************/
+u32 neg_long(u32 s)
+{
+    u32 res;
+
+    CONDITIONAL_SET_FLAG(s != 0, F_CF);
+    res = (u32)-s;
+    set_szp_flags_32(res);
+    calc_borrow_chain(32, 0, s, res, 0);
+
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the NOT instruction and side effects.
+****************************************************************************/
+u8 not_byte(u8 s)
+{
+    return ~s;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the NOT instruction and side effects.
+****************************************************************************/
+u16 not_word(u16 s)
+{
+    return ~s;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the NOT instruction and side effects.
+****************************************************************************/
+u32 not_long(u32 s)
+{
+    return ~s;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the RCL instruction and side effects.
+****************************************************************************/
+u8 rcl_byte(u8 d, u8 s)
+{
+    unsigned int res, cnt, mask, cf;
+
+    /* s is the rotate distance.  It varies from 0 - 8. */
+    /* have
+
+       CF  B_7 B_6 B_5 B_4 B_3 B_2 B_1 B_0
+
+       want to rotate through the carry by "s" bits.  We could
+       loop, but that's inefficient.  So the width is 9,
+       and we split into three parts:
+
+       The new carry flag   (was B_n)
+       the stuff in B_n-1 .. B_0
+       the stuff in B_7 .. B_n+1
+
+       The new rotate is done mod 9, and given this,
+       for a rotation of n bits (mod 9) the new carry flag is
+       then located n bits from the MSB.  The low part is
+       then shifted up cnt bits, and the high part is or'd
+       in.  Using CAPS for new values, and lowercase for the
+       original values, this can be expressed as:
+
+       IF n > 0
+       1) CF <-  b_(8-n)
+       2) B_(7) .. B_(n)  <-  b_(8-(n+1)) .. b_0
+       3) B_(n-1) <- cf
+       4) B_(n-2) .. B_0 <-  b_7 .. b_(8-(n-1))
+     */
+    res = d;
+    if ((cnt = s % 9) != 0) {
+        /* extract the new CARRY FLAG. */
+        /* CF <-  b_(8-n)             */
+        cf = (d >> (8 - cnt)) & 0x1;
+
+        /* get the low stuff which rotated
+           into the range B_7 .. B_cnt */
+        /* B_(7) .. B_(n)  <-  b_(8-(n+1)) .. b_0  */
+        /* note that the right hand side done by the mask */
+        res = (d << cnt) & 0xff;
+
+        /* now the high stuff which rotated around
+           into the positions B_cnt-2 .. B_0 */
+        /* B_(n-2) .. B_0 <-  b_7 .. b_(8-(n-1)) */
+        /* shift it downward, 7-(n-2) = 9-n positions.
+           and mask off the result before or'ing in.
+         */
+        mask = (1 << (cnt - 1)) - 1;
+        res |= (d >> (9 - cnt)) & mask;
+
+        /* if the carry flag was set, or it in.  */
+        if (ACCESS_FLAG(F_CF)) {     /* carry flag is set */
+            /*  B_(n-1) <- cf */
+            res |= 1 << (cnt - 1);
+        }
+        /* set the new carry flag, based on the variable "cf" */
+        CONDITIONAL_SET_FLAG(cf, F_CF);
+        /* OVERFLOW is set *IFF* cnt==1, then it is the
+           xor of CF and the most significant bit.  Blecck. */
+        /* parenthesized this expression since it appears to
+           be causing OF to be misset */
+        CONDITIONAL_SET_FLAG(cnt == 1 && XOR2(cf + ((res >> 6) & 0x2)),
+                             F_OF);
+
+    }
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the RCL instruction and side effects.
+****************************************************************************/
+u16 rcl_word(u16 d, u8 s)
+{
+    unsigned int res, cnt, mask, cf;
+
+    res = d;
+    if ((cnt = s % 17) != 0) {
+        cf = (d >> (16 - cnt)) & 0x1;
+        res = (d << cnt) & 0xffff;
+        mask = (1 << (cnt - 1)) - 1;
+        res |= (d >> (17 - cnt)) & mask;
+        if (ACCESS_FLAG(F_CF)) {
+            res |= 1 << (cnt - 1);
+        }
+        CONDITIONAL_SET_FLAG(cf, F_CF);
+        CONDITIONAL_SET_FLAG(cnt == 1 && XOR2(cf + ((res >> 14) & 0x2)),
+                             F_OF);
+    }
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the RCL instruction and side effects.
+****************************************************************************/
+u32 rcl_long(u32 d, u8 s)
+{
+    u32 res, cnt, mask, cf;
+
+    res = d;
+    if ((cnt = s % 33) != 0) {
+        cf = (d >> (32 - cnt)) & 0x1;
+        res = (d << cnt) & 0xffffffff;
+        mask = (1 << (cnt - 1)) - 1;
+        res |= (d >> (33 - cnt)) & mask;
+        if (ACCESS_FLAG(F_CF)) {     /* carry flag is set */
+            res |= 1 << (cnt - 1);
+        }
+        CONDITIONAL_SET_FLAG(cf, F_CF);
+        CONDITIONAL_SET_FLAG(cnt == 1 && XOR2(cf + ((res >> 30) & 0x2)),
+                             F_OF);
+    }
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the RCR instruction and side effects.
+****************************************************************************/
+u8 rcr_byte(u8 d, u8 s)
+{
+    u32 res, cnt;
+    u32 mask, cf, ocf = 0;
+
+    /* rotate right through carry */
+    /*
+       s is the rotate distance.  It varies from 0 - 8.
+       d is the byte object rotated.
+
+       have
+
+       CF  B_7 B_6 B_5 B_4 B_3 B_2 B_1 B_0
+
+       The new rotate is done mod 9, and given this,
+       for a rotation of n bits (mod 9) the new carry flag is
+       then located n bits from the LSB.  The low part is
+       then shifted up cnt bits, and the high part is or'd
+       in.  Using CAPS for new values, and lowercase for the
+       original values, this can be expressed as:
+
+       IF n > 0
+       1) CF <-  b_(n-1)
+       2) B_(8-(n+1)) .. B_(0)  <-  b_(7) .. b_(n)
+       3) B_(8-n) <- cf
+       4) B_(7) .. B_(8-(n-1)) <-  b_(n-2) .. b_(0)
+     */
+    res = d;
+    if ((cnt = s % 9) != 0) {
+        /* extract the new CARRY FLAG. */
+        /* CF <-  b_(n-1)              */
+        if (cnt == 1) {
+            cf = d & 0x1;
+            /* note hackery here.  Access_flag(..) evaluates to either
+               0 if flag not set
+               non-zero if flag is set.
+               doing access_flag(..) != 0 casts that into either
+               0..1 in any representation of the flags register
+               (i.e. packed bit array or unpacked.)
+             */
+            ocf = ACCESS_FLAG(F_CF) != 0;
+        } else
+            cf = (d >> (cnt - 1)) & 0x1;
+
+        /* B_(8-(n+1)) .. B_(0)  <-  b_(7) .. b_n  */
+        /* note that the right hand side done by the mask
+           This is effectively done by shifting the
+           object to the right.  The result must be masked,
+           in case the object came in and was treated
+           as a negative number.  Needed??? */
+
+        mask = (1 << (8 - cnt)) - 1;
+        res = (d >> cnt) & mask;
+
+        /* now the high stuff which rotated around
+           into the positions B_cnt-2 .. B_0 */
+        /* B_(7) .. B_(8-(n-1)) <-  b_(n-2) .. b_(0) */
+        /* shift it downward, 7-(n-2) = 9-n positions.
+           and mask off the result before or'ing in.
+         */
+        res |= (d << (9 - cnt));
+
+        /* if the carry flag was set, or it in.  */
+        if (ACCESS_FLAG(F_CF)) {     /* carry flag is set */
+            /*  B_(8-n) <- cf */
+            res |= 1 << (8 - cnt);
+        }
+        /* set the new carry flag, based on the variable "cf" */
+        CONDITIONAL_SET_FLAG(cf, F_CF);
+        /* OVERFLOW is set *IFF* cnt==1, then it is the
+           xor of CF and the most significant bit.  Blecck. */
+        /* parenthesized... */
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG(XOR2(ocf + ((d >> 6) & 0x2)),
+                                 F_OF);
+        }
+    }
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the RCR instruction and side effects.
+****************************************************************************/
+u16 rcr_word(u16 d, u8 s)
+{
+    u32 res, cnt;
+    u32 mask, cf, ocf = 0;
+
+    /* rotate right through carry */
+    res = d;
+    if ((cnt = s % 17) != 0) {
+        if (cnt == 1) {
+            cf = d & 0x1;
+            ocf = ACCESS_FLAG(F_CF) != 0;
+        } else
+            cf = (d >> (cnt - 1)) & 0x1;
+        mask = (1 << (16 - cnt)) - 1;
+        res = (d >> cnt) & mask;
+        res |= (d << (17 - cnt));
+        if (ACCESS_FLAG(F_CF)) {
+            res |= 1 << (16 - cnt);
+        }
+        CONDITIONAL_SET_FLAG(cf, F_CF);
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG(XOR2(ocf + ((d >> 14) & 0x2)),
+                                 F_OF);
+        }
+    }
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the RCR instruction and side effects.
+****************************************************************************/
+u32 rcr_long(u32 d, u8 s)
+{
+    u32 res, cnt;
+    u32 mask, cf, ocf = 0;
+
+    /* rotate right through carry */
+    res = d;
+    if ((cnt = s % 33) != 0) {
+        if (cnt == 1) {
+            cf = d & 0x1;
+            ocf = ACCESS_FLAG(F_CF) != 0;
+        } else
+            cf = (d >> (cnt - 1)) & 0x1;
+        mask = (1 << (32 - cnt)) - 1;
+        res = (d >> cnt) & mask;
+        if (cnt != 1)
+            res |= (d << (33 - cnt));
+        if (ACCESS_FLAG(F_CF)) {     /* carry flag is set */
+            res |= 1 << (32 - cnt);
+        }
+        CONDITIONAL_SET_FLAG(cf, F_CF);
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG(XOR2(ocf + ((d >> 30) & 0x2)),
+                                 F_OF);
+        }
+    }
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ROL instruction and side effects.
+****************************************************************************/
+u8 rol_byte(u8 d, u8 s)
+{
+    unsigned int res, cnt, mask;
+
+    /* rotate left */
+    /*
+       s is the rotate distance.  It varies from 0 - 8.
+       d is the byte object rotated.
+
+       have
+
+       CF  B_7 ... B_0
+
+       The new rotate is done mod 8.
+       Much simpler than the "rcl" or "rcr" operations.
+
+       IF n > 0
+       1) B_(7) .. B_(n)  <-  b_(8-(n+1)) .. b_(0)
+       2) B_(n-1) .. B_(0) <-  b_(7) .. b_(8-n)
+     */
+    res = d;
+    if ((cnt = s % 8) != 0) {
+        /* B_(7) .. B_(n)  <-  b_(8-(n+1)) .. b_(0) */
+        res = (d << cnt);
+
+        /* B_(n-1) .. B_(0) <-  b_(7) .. b_(8-n) */
+        mask = (1 << cnt) - 1;
+        res |= (d >> (8 - cnt)) & mask;
+
+        /* set the new carry flag, Note that it is the low order
+           bit of the result!!!                               */
+        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
+        /* OVERFLOW is set *IFF* s==1, then it is the
+           xor of CF and the most significant bit.  Blecck. */
+        CONDITIONAL_SET_FLAG(s == 1 &&
+                             XOR2((res & 0x1) + ((res >> 6) & 0x2)),
+                             F_OF);
+    } if (s != 0) {
+        /* set the new carry flag, Note that it is the low order
+           bit of the result!!!                               */
+        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
+    }
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ROL instruction and side effects.
+****************************************************************************/
+u16 rol_word(u16 d, u8 s)
+{
+    unsigned int res, cnt, mask;
+
+    res = d;
+    if ((cnt = s % 16) != 0) {
+        res = (d << cnt);
+        mask = (1 << cnt) - 1;
+        res |= (d >> (16 - cnt)) & mask;
+        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
+        CONDITIONAL_SET_FLAG(s == 1 &&
+                             XOR2((res & 0x1) + ((res >> 14) & 0x2)),
+                             F_OF);
+    } if (s != 0) {
+        /* set the new carry flag, Note that it is the low order
+           bit of the result!!!                               */
+        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
+    }
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ROL instruction and side effects.
+****************************************************************************/
+u32 rol_long(u32 d, u8 s)
+{
+    u32 res, cnt, mask;
+
+    res = d;
+    if ((cnt = s % 32) != 0) {
+        res = (d << cnt);
+        mask = (1 << cnt) - 1;
+        res |= (d >> (32 - cnt)) & mask;
+        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
+        CONDITIONAL_SET_FLAG(s == 1 &&
+                             XOR2((res & 0x1) + ((res >> 30) & 0x2)),
+                             F_OF);
+    } if (s != 0) {
+        /* set the new carry flag, Note that it is the low order
+           bit of the result!!!                               */
+        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
+    }
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ROR instruction and side effects.
+****************************************************************************/
+u8 ror_byte(u8 d, u8 s)
+{
+    unsigned int res, cnt, mask;
+
+    /* rotate right */
+    /*
+       s is the rotate distance.  It varies from 0 - 8.
+       d is the byte object rotated.
+
+       have
+
+       B_7 ... B_0
+
+       The rotate is done mod 8.
+
+       IF n > 0
+       1) B_(8-(n+1)) .. B_(0)  <-  b_(7) .. b_(n)
+       2) B_(7) .. B_(8-n) <-  b_(n-1) .. b_(0)
+     */
+    res = d;
+    if ((cnt = s % 8) != 0) {           /* not a typo, do nada if cnt==0 */
+        /* B_(7) .. B_(8-n) <-  b_(n-1) .. b_(0) */
+        res = (d << (8 - cnt));
+
+        /* B_(8-(n+1)) .. B_(0)  <-  b_(7) .. b_(n) */
+        mask = (1 << (8 - cnt)) - 1;
+        res |= (d >> (cnt)) & mask;
+
+        /* set the new carry flag, Note that it is the low order
+           bit of the result!!!                               */
+        CONDITIONAL_SET_FLAG(res & 0x80, F_CF);
+        /* OVERFLOW is set *IFF* s==1, then it is the
+           xor of the two most significant bits.  Blecck. */
+        CONDITIONAL_SET_FLAG(s == 1 && XOR2(res >> 6), F_OF);
+    } else if (s != 0) {
+        /* set the new carry flag, Note that it is the low order
+           bit of the result!!!                               */
+        CONDITIONAL_SET_FLAG(res & 0x80, F_CF);
+    }
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ROR instruction and side effects.
+****************************************************************************/
+u16 ror_word(u16 d, u8 s)
+{
+    unsigned int res, cnt, mask;
+
+    res = d;
+    if ((cnt = s % 16) != 0) {
+        res = (d << (16 - cnt));
+        mask = (1 << (16 - cnt)) - 1;
+        res |= (d >> (cnt)) & mask;
+        CONDITIONAL_SET_FLAG(res & 0x8000, F_CF);
+        CONDITIONAL_SET_FLAG(s == 1 && XOR2(res >> 14), F_OF);
+    } else if (s != 0) {
+        /* set the new carry flag, Note that it is the low order
+           bit of the result!!!                               */
+        CONDITIONAL_SET_FLAG(res & 0x8000, F_CF);
+    }
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the ROR instruction and side effects.
+****************************************************************************/
+u32 ror_long(u32 d, u8 s)
+{
+    u32 res, cnt, mask;
+
+    res = d;
+    if ((cnt = s % 32) != 0) {
+        res = (d << (32 - cnt));
+        mask = (1 << (32 - cnt)) - 1;
+        res |= (d >> (cnt)) & mask;
+        CONDITIONAL_SET_FLAG(res & 0x80000000, F_CF);
+        CONDITIONAL_SET_FLAG(s == 1 && XOR2(res >> 30), F_OF);
+    } else if (s != 0) {
+        /* set the new carry flag, Note that it is the low order
+           bit of the result!!!                               */
+        CONDITIONAL_SET_FLAG(res & 0x80000000, F_CF);
+    }
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SHL instruction and side effects.
+****************************************************************************/
+u8 shl_byte(u8 d, u8 s)
+{
+    unsigned int cnt, res, cf;
+
+    if (s < 8) {
+        cnt = s % 8;
+
+        /* last bit shifted out goes into carry flag */
+        if (cnt > 0) {
+            res = d << cnt;
+            cf = d & (1 << (8 - cnt));
+            CONDITIONAL_SET_FLAG(cf, F_CF);
+            set_szp_flags_8((u8)res);
+        } else {
+            res = (u8) d;
+        }
+
+        if (cnt == 1) {
+            /* Needs simplification. */
+            CONDITIONAL_SET_FLAG(
+                                    (((res & 0x80) == 0x80) ^
+                                     (ACCESS_FLAG(F_CF) != 0)),
+            /* was (M.x86.R_FLG&F_CF)==F_CF)), */
+                                    F_OF);
+        } else {
+            CLEAR_FLAG(F_OF);
+        }
+    } else {
+        res = 0;
+        CONDITIONAL_SET_FLAG((d << (s-1)) & 0x80, F_CF);
+        CLEAR_FLAG(F_OF);
+        CLEAR_FLAG(F_SF);
+        SET_FLAG(F_PF);
+        SET_FLAG(F_ZF);
+    }
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SHL instruction and side effects.
+****************************************************************************/
+u16 shl_word(u16 d, u8 s)
+{
+    unsigned int cnt, res, cf;
+
+    if (s < 16) {
+        cnt = s % 16;
+        if (cnt > 0) {
+            res = d << cnt;
+            cf = d & (1 << (16 - cnt));
+            CONDITIONAL_SET_FLAG(cf, F_CF);
+            set_szp_flags_16((u16)res);
+        } else {
+            res = (u16) d;
+        }
+
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG(
+                                    (((res & 0x8000) == 0x8000) ^
+                                     (ACCESS_FLAG(F_CF) != 0)),
+                                    F_OF);
+        } else {
+            CLEAR_FLAG(F_OF);
+        }
+    } else {
+        res = 0;
+        CONDITIONAL_SET_FLAG((d << (s-1)) & 0x8000, F_CF);
+        CLEAR_FLAG(F_OF);
+        CLEAR_FLAG(F_SF);
+        SET_FLAG(F_PF);
+        SET_FLAG(F_ZF);
+    }
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SHL instruction and side effects.
+****************************************************************************/
+u32 shl_long(u32 d, u8 s)
+{
+    unsigned int cnt, res, cf;
+
+    if (s < 32) {
+        cnt = s % 32;
+        if (cnt > 0) {
+            res = d << cnt;
+            cf = d & (1 << (32 - cnt));
+            CONDITIONAL_SET_FLAG(cf, F_CF);
+            set_szp_flags_32((u32)res);
+        } else {
+            res = d;
+        }
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG((((res & 0x80000000) == 0x80000000) ^
+                                  (ACCESS_FLAG(F_CF) != 0)), F_OF);
+        } else {
+            CLEAR_FLAG(F_OF);
+        }
+    } else {
+        res = 0;
+        CONDITIONAL_SET_FLAG((d << (s-1)) & 0x80000000, F_CF);
+        CLEAR_FLAG(F_OF);
+        CLEAR_FLAG(F_SF);
+        SET_FLAG(F_PF);
+        SET_FLAG(F_ZF);
+    }
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SHR instruction and side effects.
+****************************************************************************/
+u8 shr_byte(u8 d, u8 s)
+{
+    unsigned int cnt, res, cf;
+
+    if (s < 8) {
+        cnt = s % 8;
+        if (cnt > 0) {
+            cf = d & (1 << (cnt - 1));
+            res = d >> cnt;
+            CONDITIONAL_SET_FLAG(cf, F_CF);
+            set_szp_flags_8((u8)res);
+        } else {
+            res = (u8) d;
+        }
+
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG(XOR2(res >> 6), F_OF);
+        } else {
+            CLEAR_FLAG(F_OF);
+        }
+    } else {
+        res = 0;
+        CONDITIONAL_SET_FLAG((d >> (s-1)) & 0x1, F_CF);
+        CLEAR_FLAG(F_OF);
+        CLEAR_FLAG(F_SF);
+        SET_FLAG(F_PF);
+        SET_FLAG(F_ZF);
+    }
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SHR instruction and side effects.
+****************************************************************************/
+u16 shr_word(u16 d, u8 s)
+{
+    unsigned int cnt, res, cf;
+
+    if (s < 16) {
+        cnt = s % 16;
+        if (cnt > 0) {
+            cf = d & (1 << (cnt - 1));
+            res = d >> cnt;
+            CONDITIONAL_SET_FLAG(cf, F_CF);
+            set_szp_flags_16((u16)res);
+        } else {
+            res = d;
+        }
+
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG(XOR2(res >> 14), F_OF);
+        } else {
+            CLEAR_FLAG(F_OF);
+        }
+    } else {
+        res = 0;
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_OF);
+        SET_FLAG(F_ZF);
+        CLEAR_FLAG(F_SF);
+        CLEAR_FLAG(F_PF);
+    }
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SHR instruction and side effects.
+****************************************************************************/
+u32 shr_long(u32 d, u8 s)
+{
+    unsigned int cnt, res, cf;
+
+    if (s < 32) {
+        cnt = s % 32;
+        if (cnt > 0) {
+            cf = d & (1 << (cnt - 1));
+            res = d >> cnt;
+            CONDITIONAL_SET_FLAG(cf, F_CF);
+            set_szp_flags_32((u32)res);
+        } else {
+            res = d;
+        }
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG(XOR2(res >> 30), F_OF);
+        } else {
+            CLEAR_FLAG(F_OF);
+        }
+    } else {
+        res = 0;
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_OF);
+        SET_FLAG(F_ZF);
+        CLEAR_FLAG(F_SF);
+        CLEAR_FLAG(F_PF);
+    }
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SAR instruction and side effects.
+****************************************************************************/
+u8 sar_byte(u8 d, u8 s)
+{
+    unsigned int cnt, res, cf, mask, sf;
+
+    res = d;
+    sf = d & 0x80;
+    cnt = s % 8;
+    if (cnt > 0 && cnt < 8) {
+        mask = (1 << (8 - cnt)) - 1;
+        cf = d & (1 << (cnt - 1));
+        res = (d >> cnt) & mask;
+        CONDITIONAL_SET_FLAG(cf, F_CF);
+        if (sf) {
+            res |= ~mask;
+        }
+        set_szp_flags_8((u8)res);
+    } else if (cnt >= 8) {
+        if (sf) {
+            res = 0xff;
+            SET_FLAG(F_CF);
+            CLEAR_FLAG(F_ZF);
+            SET_FLAG(F_SF);
+            SET_FLAG(F_PF);
+        } else {
+            res = 0;
+            CLEAR_FLAG(F_CF);
+            SET_FLAG(F_ZF);
+            CLEAR_FLAG(F_SF);
+            CLEAR_FLAG(F_PF);
+        }
+    }
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SAR instruction and side effects.
+****************************************************************************/
+u16 sar_word(u16 d, u8 s)
+{
+    unsigned int cnt, res, cf, mask, sf;
+
+    sf = d & 0x8000;
+    cnt = s % 16;
+    res = d;
+    if (cnt > 0 && cnt < 16) {
+        mask = (1 << (16 - cnt)) - 1;
+        cf = d & (1 << (cnt - 1));
+        res = (d >> cnt) & mask;
+        CONDITIONAL_SET_FLAG(cf, F_CF);
+        if (sf) {
+            res |= ~mask;
+        }
+        set_szp_flags_16((u16)res);
+    } else if (cnt >= 16) {
+        if (sf) {
+            res = 0xffff;
+            SET_FLAG(F_CF);
+            CLEAR_FLAG(F_ZF);
+            SET_FLAG(F_SF);
+            SET_FLAG(F_PF);
+        } else {
+            res = 0;
+            CLEAR_FLAG(F_CF);
+            SET_FLAG(F_ZF);
+            CLEAR_FLAG(F_SF);
+            CLEAR_FLAG(F_PF);
+        }
+    }
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SAR instruction and side effects.
+****************************************************************************/
+u32 sar_long(u32 d, u8 s)
+{
+    u32 cnt, res, cf, mask, sf;
+
+    sf = d & 0x80000000;
+    cnt = s % 32;
+    res = d;
+    if (cnt > 0 && cnt < 32) {
+        mask = (1 << (32 - cnt)) - 1;
+        cf = d & (1 << (cnt - 1));
+        res = (d >> cnt) & mask;
+        CONDITIONAL_SET_FLAG(cf, F_CF);
+        if (sf) {
+            res |= ~mask;
+        }
+        set_szp_flags_32(res);
+    } else if (cnt >= 32) {
+        if (sf) {
+            res = 0xffffffff;
+            SET_FLAG(F_CF);
+            CLEAR_FLAG(F_ZF);
+            SET_FLAG(F_SF);
+            SET_FLAG(F_PF);
+        } else {
+            res = 0;
+            CLEAR_FLAG(F_CF);
+            SET_FLAG(F_ZF);
+            CLEAR_FLAG(F_SF);
+            CLEAR_FLAG(F_PF);
+        }
+    }
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SHLD instruction and side effects.
+****************************************************************************/
+u16 shld_word (u16 d, u16 fill, u8 s)
+{
+    unsigned int cnt, res, cf;
+
+    if (s < 16) {
+        cnt = s % 16;
+        if (cnt > 0) {
+            res = (d << cnt) | (fill >> (16-cnt));
+            cf = d & (1 << (16 - cnt));
+            CONDITIONAL_SET_FLAG(cf, F_CF);
+            set_szp_flags_16((u16)res);
+        } else {
+            res = d;
+        }
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG((((res & 0x8000) == 0x8000) ^
+                                  (ACCESS_FLAG(F_CF) != 0)), F_OF);
+        } else {
+            CLEAR_FLAG(F_OF);
+        }
+    } else {
+        res = 0;
+        CONDITIONAL_SET_FLAG((d << (s-1)) & 0x8000, F_CF);
+        CLEAR_FLAG(F_OF);
+        CLEAR_FLAG(F_SF);
+        SET_FLAG(F_PF);
+        SET_FLAG(F_ZF);
+    }
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SHLD instruction and side effects.
+****************************************************************************/
+u32 shld_long (u32 d, u32 fill, u8 s)
+{
+    unsigned int cnt, res, cf;
+
+    if (s < 32) {
+        cnt = s % 32;
+        if (cnt > 0) {
+            res = (d << cnt) | (fill >> (32-cnt));
+            cf = d & (1 << (32 - cnt));
+            CONDITIONAL_SET_FLAG(cf, F_CF);
+            set_szp_flags_32((u32)res);
+        } else {
+            res = d;
+        }
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG((((res & 0x80000000) == 0x80000000) ^
+                                  (ACCESS_FLAG(F_CF) != 0)), F_OF);
+        } else {
+            CLEAR_FLAG(F_OF);
+        }
+    } else {
+        res = 0;
+        CONDITIONAL_SET_FLAG((d << (s-1)) & 0x80000000, F_CF);
+        CLEAR_FLAG(F_OF);
+        CLEAR_FLAG(F_SF);
+        SET_FLAG(F_PF);
+        SET_FLAG(F_ZF);
+    }
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SHRD instruction and side effects.
+****************************************************************************/
+u16 shrd_word (u16 d, u16 fill, u8 s)
+{
+    unsigned int cnt, res, cf;
+
+    if (s < 16) {
+        cnt = s % 16;
+        if (cnt > 0) {
+            cf = d & (1 << (cnt - 1));
+            res = (d >> cnt) | (fill << (16 - cnt));
+            CONDITIONAL_SET_FLAG(cf, F_CF);
+            set_szp_flags_16((u16)res);
+        } else {
+            res = d;
+        }
+
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG(XOR2(res >> 14), F_OF);
+        } else {
+            CLEAR_FLAG(F_OF);
+        }
+    } else {
+        res = 0;
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_OF);
+        SET_FLAG(F_ZF);
+        CLEAR_FLAG(F_SF);
+        CLEAR_FLAG(F_PF);
+    }
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SHRD instruction and side effects.
+****************************************************************************/
+u32 shrd_long (u32 d, u32 fill, u8 s)
+{
+    unsigned int cnt, res, cf;
+
+    if (s < 32) {
+        cnt = s % 32;
+        if (cnt > 0) {
+            cf = d & (1 << (cnt - 1));
+            res = (d >> cnt) | (fill << (32 - cnt));
+            CONDITIONAL_SET_FLAG(cf, F_CF);
+            set_szp_flags_32((u32)res);
+        } else {
+            res = d;
+        }
+        if (cnt == 1) {
+            CONDITIONAL_SET_FLAG(XOR2(res >> 30), F_OF);
+        } else {
+            CLEAR_FLAG(F_OF);
+        }
+    } else {
+        res = 0;
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_OF);
+        SET_FLAG(F_ZF);
+        CLEAR_FLAG(F_SF);
+        CLEAR_FLAG(F_PF);
+    }
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SBB instruction and side effects.
+****************************************************************************/
+u8 sbb_byte(u8 d, u8 s)
+{
+    u32 res;   /* all operands in native machine order */
+    u32 bc;
+
+    if (ACCESS_FLAG(F_CF))
+        res = d - s - 1;
+    else
+        res = d - s;
+    set_szp_flags_8((u8)res);
+
+    /* calculate the borrow chain.  See note at top */
+    bc = (res & (~d | s)) | (~d & s);
+    CONDITIONAL_SET_FLAG(bc & 0x80, F_CF);
+    CONDITIONAL_SET_FLAG(XOR2(bc >> 6), F_OF);
+    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SBB instruction and side effects.
+****************************************************************************/
+u16 sbb_word(u16 d, u16 s)
+{
+    u32 res;   /* all operands in native machine order */
+    u32 bc;
+
+    if (ACCESS_FLAG(F_CF))
+        res = d - s - 1;
+    else
+        res = d - s;
+    set_szp_flags_16((u16)res);
+
+    /* calculate the borrow chain.  See note at top */
+    bc = (res & (~d | s)) | (~d & s);
+    CONDITIONAL_SET_FLAG(bc & 0x8000, F_CF);
+    CONDITIONAL_SET_FLAG(XOR2(bc >> 14), F_OF);
+    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SBB instruction and side effects.
+****************************************************************************/
+u32 sbb_long(u32 d, u32 s)
+{
+    u32 res;   /* all operands in native machine order */
+    u32 bc;
+
+    if (ACCESS_FLAG(F_CF))
+        res = d - s - 1;
+    else
+        res = d - s;
+
+    set_szp_flags_32(res);
+
+    /* calculate the borrow chain.  See note at top */
+    bc = (res & (~d | s)) | (~d & s);
+    CONDITIONAL_SET_FLAG(bc & 0x80000000, F_CF);
+    CONDITIONAL_SET_FLAG(XOR2(bc >> 30), F_OF);
+    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SUB instruction and side effects.
+****************************************************************************/
+u8 sub_byte(u8 d, u8 s)
+{
+    u32 res;   /* all operands in native machine order */
+    u32 bc;
+
+    res = d - s;
+    set_szp_flags_8((u8)res);
+
+    /* calculate the borrow chain.  See note at top */
+    bc = (res & (~d | s)) | (~d & s);
+    CONDITIONAL_SET_FLAG(bc & 0x80, F_CF);
+    CONDITIONAL_SET_FLAG(XOR2(bc >> 6), F_OF);
+    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
+    return (u8)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SUB instruction and side effects.
+****************************************************************************/
+u16 sub_word(u16 d, u16 s)
+{
+    u32 res;   /* all operands in native machine order */
+    u32 bc;
+
+    res = d - s;
+    set_szp_flags_16((u16)res);
+
+    /* calculate the borrow chain.  See note at top */
+    bc = (res & (~d | s)) | (~d & s);
+    CONDITIONAL_SET_FLAG(bc & 0x8000, F_CF);
+    CONDITIONAL_SET_FLAG(XOR2(bc >> 14), F_OF);
+    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
+    return (u16)res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the SUB instruction and side effects.
+****************************************************************************/
+u32 sub_long(u32 d, u32 s)
+{
+    u32 res;   /* all operands in native machine order */
+    u32 bc;
+
+    res = d - s;
+    set_szp_flags_32(res);
+
+    /* calculate the borrow chain.  See note at top */
+    bc = (res & (~d | s)) | (~d & s);
+    CONDITIONAL_SET_FLAG(bc & 0x80000000, F_CF);
+    CONDITIONAL_SET_FLAG(XOR2(bc >> 30), F_OF);
+    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the TEST instruction and side effects.
+****************************************************************************/
+void test_byte(u8 d, u8 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d & s;
+
+    CLEAR_FLAG(F_OF);
+    set_szp_flags_8((u8)res);
+    /* AF == dont care */
+    CLEAR_FLAG(F_CF);
+}
+
+/****************************************************************************
+REMARKS:
+Implements the TEST instruction and side effects.
+****************************************************************************/
+void test_word(u16 d, u16 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d & s;
+
+    CLEAR_FLAG(F_OF);
+    set_szp_flags_16((u16)res);
+    /* AF == dont care */
+    CLEAR_FLAG(F_CF);
+}
+
+/****************************************************************************
+REMARKS:
+Implements the TEST instruction and side effects.
+****************************************************************************/
+void test_long(u32 d, u32 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d & s;
+
+    CLEAR_FLAG(F_OF);
+    set_szp_flags_32(res);
+    /* AF == dont care */
+    CLEAR_FLAG(F_CF);
+}
+
+/****************************************************************************
+REMARKS:
+Implements the XOR instruction and side effects.
+****************************************************************************/
+u8 xor_byte(u8 d, u8 s)
+{
+    u8 res;    /* all operands in native machine order */
+
+    res = d ^ s;
+    no_carry_byte_side_eff(res);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the XOR instruction and side effects.
+****************************************************************************/
+u16 xor_word(u16 d, u16 s)
+{
+    u16 res;   /* all operands in native machine order */
+
+    res = d ^ s;
+    no_carry_word_side_eff(res);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the XOR instruction and side effects.
+****************************************************************************/
+u32 xor_long(u32 d, u32 s)
+{
+    u32 res;   /* all operands in native machine order */
+
+    res = d ^ s;
+    no_carry_long_side_eff(res);
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the IMUL instruction and side effects.
+****************************************************************************/
+void imul_byte(u8 s)
+{
+    s16 res = (s16)((s8)M.x86.R_AL * (s8)s);
+
+    M.x86.R_AX = res;
+    if (((M.x86.R_AL & 0x80) == 0 && M.x86.R_AH == 0x00) ||
+        ((M.x86.R_AL & 0x80) != 0 && M.x86.R_AH == 0xFF)) {
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_OF);
+    } else {
+        SET_FLAG(F_CF);
+        SET_FLAG(F_OF);
+    }
+}
+
+/****************************************************************************
+REMARKS:
+Implements the IMUL instruction and side effects.
+****************************************************************************/
+void imul_word(u16 s)
+{
+    s32 res = (s16)M.x86.R_AX * (s16)s;
+
+    M.x86.R_AX = (u16)res;
+    M.x86.R_DX = (u16)(res >> 16);
+    if (((M.x86.R_AX & 0x8000) == 0 && M.x86.R_DX == 0x0000) ||
+        ((M.x86.R_AX & 0x8000) != 0 && M.x86.R_DX == 0xFFFF)) {
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_OF);
+    } else {
+        SET_FLAG(F_CF);
+        SET_FLAG(F_OF);
+    }
+}
+
+/****************************************************************************
+REMARKS:
+Implements the IMUL instruction and side effects.
+****************************************************************************/
+void imul_long_direct(u32 *res_lo, u32* res_hi,u32 d, u32 s)
+{
+#ifdef  __HAS_LONG_LONG__
+    s64 res = (s64)(s32)d * (s64)(s32)s;
+
+    *res_lo = (u32)res;
+    *res_hi = (u32)(res >> 32);
+#else
+    u32 d_lo,d_hi,d_sign;
+    u32 s_lo,s_hi,s_sign;
+    u32 rlo_lo,rlo_hi,rhi_lo;
+
+    if ((d_sign = d & 0x80000000) != 0)
+        d = -d;
+    d_lo = d & 0xFFFF;
+    d_hi = d >> 16;
+    if ((s_sign = s & 0x80000000) != 0)
+        s = -s;
+    s_lo = s & 0xFFFF;
+    s_hi = s >> 16;
+    rlo_lo = d_lo * s_lo;
+    rlo_hi = (d_hi * s_lo + d_lo * s_hi) + (rlo_lo >> 16);
+    rhi_lo = d_hi * s_hi + (rlo_hi >> 16);
+    *res_lo = (rlo_hi << 16) | (rlo_lo & 0xFFFF);
+    *res_hi = rhi_lo;
+    if (d_sign != s_sign) {
+        d = ~*res_lo;
+        s = (((d & 0xFFFF) + 1) >> 16) + (d >> 16);
+        *res_lo = ~*res_lo+1;
+        *res_hi = ~*res_hi+(s >> 16);
+        }
+#endif
+}
+
+/****************************************************************************
+REMARKS:
+Implements the IMUL instruction and side effects.
+****************************************************************************/
+void imul_long(u32 s)
+{
+    imul_long_direct(&M.x86.R_EAX,&M.x86.R_EDX,M.x86.R_EAX,s);
+    if (((M.x86.R_EAX & 0x80000000) == 0 && M.x86.R_EDX == 0x00000000) ||
+        ((M.x86.R_EAX & 0x80000000) != 0 && M.x86.R_EDX == 0xFFFFFFFF)) {
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_OF);
+    } else {
+        SET_FLAG(F_CF);
+        SET_FLAG(F_OF);
+    }
+}
+
+/****************************************************************************
+REMARKS:
+Implements the MUL instruction and side effects.
+****************************************************************************/
+void mul_byte(u8 s)
+{
+    u16 res = (u16)(M.x86.R_AL * s);
+
+    M.x86.R_AX = res;
+    if (M.x86.R_AH == 0) {
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_OF);
+    } else {
+        SET_FLAG(F_CF);
+        SET_FLAG(F_OF);
+    }
+}
+
+/****************************************************************************
+REMARKS:
+Implements the MUL instruction and side effects.
+****************************************************************************/
+void mul_word(u16 s)
+{
+    u32 res = M.x86.R_AX * s;
+
+    M.x86.R_AX = (u16)res;
+    M.x86.R_DX = (u16)(res >> 16);
+    if (M.x86.R_DX == 0) {
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_OF);
+    } else {
+        SET_FLAG(F_CF);
+        SET_FLAG(F_OF);
+    }
+}
+
+/****************************************************************************
+REMARKS:
+Implements the MUL instruction and side effects.
+****************************************************************************/
+void mul_long(u32 s)
+{
+#ifdef  __HAS_LONG_LONG__
+    u64 res = (u64)M.x86.R_EAX * s;
+
+    M.x86.R_EAX = (u32)res;
+    M.x86.R_EDX = (u32)(res >> 32);
+#else
+    u32 a,a_lo,a_hi;
+    u32 s_lo,s_hi;
+    u32 rlo_lo,rlo_hi,rhi_lo;
+
+    a = M.x86.R_EAX;
+    a_lo = a & 0xFFFF;
+    a_hi = a >> 16;
+    s_lo = s & 0xFFFF;
+    s_hi = s >> 16;
+    rlo_lo = a_lo * s_lo;
+    rlo_hi = (a_hi * s_lo + a_lo * s_hi) + (rlo_lo >> 16);
+    rhi_lo = a_hi * s_hi + (rlo_hi >> 16);
+    M.x86.R_EAX = (rlo_hi << 16) | (rlo_lo & 0xFFFF);
+    M.x86.R_EDX = rhi_lo;
+#endif
+    if (M.x86.R_EDX == 0) {
+        CLEAR_FLAG(F_CF);
+        CLEAR_FLAG(F_OF);
+    } else {
+        SET_FLAG(F_CF);
+        SET_FLAG(F_OF);
+    }
+}
+
+/****************************************************************************
+REMARKS:
+Implements the IDIV instruction and side effects.
+****************************************************************************/
+void idiv_byte(u8 s)
+{
+    s32 dvd, div, mod;
+
+    dvd = (s16)M.x86.R_AX;
+    if (s == 0) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    div = dvd / (s8)s;
+    mod = dvd % (s8)s;
+    if (abs(div) > 0x7f) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    M.x86.R_AL = (s8) div;
+    M.x86.R_AH = (s8) mod;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the IDIV instruction and side effects.
+****************************************************************************/
+void idiv_word(u16 s)
+{
+    s32 dvd, div, mod;
+
+    dvd = (((s32)M.x86.R_DX) << 16) | M.x86.R_AX;
+    if (s == 0) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    div = dvd / (s16)s;
+    mod = dvd % (s16)s;
+    if (abs(div) > 0x7fff) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    CLEAR_FLAG(F_CF);
+    CLEAR_FLAG(F_SF);
+    CONDITIONAL_SET_FLAG(div == 0, F_ZF);
+    set_parity_flag(mod);
+
+    M.x86.R_AX = (u16)div;
+    M.x86.R_DX = (u16)mod;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the IDIV instruction and side effects.
+****************************************************************************/
+void idiv_long(u32 s)
+{
+#ifdef  __HAS_LONG_LONG__
+    s64 dvd, div, mod;
+
+    dvd = (((s64)M.x86.R_EDX) << 32) | M.x86.R_EAX;
+    if (s == 0) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    div = dvd / (s32)s;
+    mod = dvd % (s32)s;
+    if (abs(div) > 0x7fffffff) {
+        x86emu_intr_raise(0);
+        return;
+    }
+#else
+    s32 div = 0, mod;
+    s32 h_dvd = M.x86.R_EDX;
+    u32 l_dvd = M.x86.R_EAX;
+    u32 abs_s = s & 0x7FFFFFFF;
+    u32 abs_h_dvd = h_dvd & 0x7FFFFFFF;
+    u32 h_s = abs_s >> 1;
+    u32 l_s = abs_s << 31;
+    int counter = 31;
+    int carry;
+
+    if (s == 0) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    do {
+        div <<= 1;
+        carry = (l_dvd >= l_s) ? 0 : 1;
+
+        if (abs_h_dvd < (h_s + carry)) {
+            h_s >>= 1;
+            l_s = abs_s << (--counter);
+            continue;
+        } else {
+            abs_h_dvd -= (h_s + carry);
+            l_dvd = carry ? ((0xFFFFFFFF - l_s) + l_dvd + 1)
+                : (l_dvd - l_s);
+            h_s >>= 1;
+            l_s = abs_s << (--counter);
+            div |= 1;
+            continue;
+        }
+
+    } while (counter > -1);
+    /* overflow */
+    if (abs_h_dvd || (l_dvd > abs_s)) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    /* sign */
+    div |= ((h_dvd & 0x10000000) ^ (s & 0x10000000));
+    mod = l_dvd;
+
+#endif
+    CLEAR_FLAG(F_CF);
+    CLEAR_FLAG(F_AF);
+    CLEAR_FLAG(F_SF);
+    SET_FLAG(F_ZF);
+    set_parity_flag(mod);
+
+    M.x86.R_EAX = (u32)div;
+    M.x86.R_EDX = (u32)mod;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the DIV instruction and side effects.
+****************************************************************************/
+void div_byte(u8 s)
+{
+    u32 dvd, div, mod;
+
+    dvd = M.x86.R_AX;
+    if (s == 0) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    div = dvd / (u8)s;
+    mod = dvd % (u8)s;
+    if (abs(div) > 0xff) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    M.x86.R_AL = (u8)div;
+    M.x86.R_AH = (u8)mod;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the DIV instruction and side effects.
+****************************************************************************/
+void div_word(u16 s)
+{
+    u32 dvd, div, mod;
+
+    dvd = (((u32)M.x86.R_DX) << 16) | M.x86.R_AX;
+    if (s == 0) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    div = dvd / (u16)s;
+    mod = dvd % (u16)s;
+    if (abs(div) > 0xffff) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    CLEAR_FLAG(F_CF);
+    CLEAR_FLAG(F_SF);
+    CONDITIONAL_SET_FLAG(div == 0, F_ZF);
+    set_parity_flag(mod);
+
+    M.x86.R_AX = (u16)div;
+    M.x86.R_DX = (u16)mod;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the DIV instruction and side effects.
+****************************************************************************/
+void div_long(u32 s)
+{
+#ifdef  __HAS_LONG_LONG__
+    u64 dvd, div, mod;
+
+    dvd = (((u64)M.x86.R_EDX) << 32) | M.x86.R_EAX;
+    if (s == 0) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    div = dvd / (u32)s;
+    mod = dvd % (u32)s;
+    if (abs(div) > 0xffffffff) {
+        x86emu_intr_raise(0);
+        return;
+    }
+#else
+    s32 div = 0, mod;
+    s32 h_dvd = M.x86.R_EDX;
+    u32 l_dvd = M.x86.R_EAX;
+
+    u32 h_s = s;
+    u32 l_s = 0;
+    int counter = 32;
+    int carry;
+
+    if (s == 0) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    do {
+        div <<= 1;
+        carry = (l_dvd >= l_s) ? 0 : 1;
+
+        if (h_dvd < (h_s + carry)) {
+            h_s >>= 1;
+            l_s = s << (--counter);
+            continue;
+        } else {
+            h_dvd -= (h_s + carry);
+            l_dvd = carry ? ((0xFFFFFFFF - l_s) + l_dvd + 1)
+                : (l_dvd - l_s);
+            h_s >>= 1;
+            l_s = s << (--counter);
+            div |= 1;
+            continue;
+        }
+
+    } while (counter > -1);
+    /* overflow */
+    if (h_dvd || (l_dvd > s)) {
+        x86emu_intr_raise(0);
+        return;
+    }
+    mod = l_dvd;
+#endif
+    CLEAR_FLAG(F_CF);
+    CLEAR_FLAG(F_AF);
+    CLEAR_FLAG(F_SF);
+    SET_FLAG(F_ZF);
+    set_parity_flag(mod);
+
+    M.x86.R_EAX = (u32)div;
+    M.x86.R_EDX = (u32)mod;
+}
+
+/****************************************************************************
+REMARKS:
+Implements the IN string instruction and side effects.
+****************************************************************************/
+
+static void single_in(int size)
+{
+    if(size == 1)
+        store_data_byte_abs(M.x86.R_ES, M.x86.R_DI,(*sys_inb)(M.x86.R_DX));
+    else if (size == 2)
+        store_data_word_abs(M.x86.R_ES, M.x86.R_DI,(*sys_inw)(M.x86.R_DX));
+    else
+        store_data_long_abs(M.x86.R_ES, M.x86.R_DI,(*sys_inl)(M.x86.R_DX));
+}
+
+void ins(int size)
+{
+    int inc = size;
+
+    if (ACCESS_FLAG(F_DF)) {
+        inc = -size;
+    }
+    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
+        /* dont care whether REPE or REPNE */
+        /* in until (E)CX is ZERO. */
+        u32 count = ((M.x86.mode & SYSMODE_32BIT_REP) ?
+                     M.x86.R_ECX : M.x86.R_CX);
+        while (count--) {
+          single_in(size);
+          M.x86.R_DI += inc;
+          }
+        M.x86.R_CX = 0;
+        if (M.x86.mode & SYSMODE_32BIT_REP) {
+            M.x86.R_ECX = 0;
+        }
+        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
+    } else {
+        single_in(size);
+        M.x86.R_DI += inc;
+    }
+}
+
+/****************************************************************************
+REMARKS:
+Implements the OUT string instruction and side effects.
+****************************************************************************/
+
+static void single_out(int size)
+{
+     if(size == 1)
+       (*sys_outb)(M.x86.R_DX,fetch_data_byte_abs(M.x86.R_ES, M.x86.R_SI));
+     else if (size == 2)
+       (*sys_outw)(M.x86.R_DX,fetch_data_word_abs(M.x86.R_ES, M.x86.R_SI));
+     else
+       (*sys_outl)(M.x86.R_DX,fetch_data_long_abs(M.x86.R_ES, M.x86.R_SI));
+}
+
+void outs(int size)
+{
+    int inc = size;
+
+    if (ACCESS_FLAG(F_DF)) {
+        inc = -size;
+    }
+    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
+        /* dont care whether REPE or REPNE */
+        /* out until (E)CX is ZERO. */
+        u32 count = ((M.x86.mode & SYSMODE_32BIT_REP) ?
+                     M.x86.R_ECX : M.x86.R_CX);
+        while (count--) {
+          single_out(size);
+          M.x86.R_SI += inc;
+          }
+        M.x86.R_CX = 0;
+        if (M.x86.mode & SYSMODE_32BIT_REP) {
+            M.x86.R_ECX = 0;
+        }
+        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
+    } else {
+        single_out(size);
+        M.x86.R_SI += inc;
+    }
+}
+
+/****************************************************************************
+PARAMETERS:
+addr    - Address to fetch word from
+
+REMARKS:
+Fetches a word from emulator memory using an absolute address.
+****************************************************************************/
+u16 mem_access_word(int addr)
+{
+DB( if (CHECK_MEM_ACCESS())
+      x86emu_check_mem_access(addr);)
+    return (*sys_rdw)(addr);
+}
+
+/****************************************************************************
+REMARKS:
+Pushes a word onto the stack.
+
+NOTE: Do not inline this, as (*sys_wrX) is already inline!
+****************************************************************************/
+void push_word(u16 w)
+{
+DB( if (CHECK_SP_ACCESS())
+      x86emu_check_sp_access();)
+    M.x86.R_SP -= 2;
+    (*sys_wrw)(((u32)M.x86.R_SS << 4)  + M.x86.R_SP, w);
+}
+
+/****************************************************************************
+REMARKS:
+Pushes a long onto the stack.
+
+NOTE: Do not inline this, as (*sys_wrX) is already inline!
+****************************************************************************/
+void push_long(u32 w)
+{
+DB( if (CHECK_SP_ACCESS())
+      x86emu_check_sp_access();)
+    M.x86.R_SP -= 4;
+    (*sys_wrl)(((u32)M.x86.R_SS << 4)  + M.x86.R_SP, w);
+}
+
+/****************************************************************************
+REMARKS:
+Pops a word from the stack.
+
+NOTE: Do not inline this, as (*sys_rdX) is already inline!
+****************************************************************************/
+u16 pop_word(void)
+{
+    u16 res;
+
+DB( if (CHECK_SP_ACCESS())
+      x86emu_check_sp_access();)
+    res = (*sys_rdw)(((u32)M.x86.R_SS << 4)  + M.x86.R_SP);
+    M.x86.R_SP += 2;
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+Pops a long from the stack.
+
+NOTE: Do not inline this, as (*sys_rdX) is already inline!
+****************************************************************************/
+u32 pop_long(void)
+{
+    u32 res;
+
+DB( if (CHECK_SP_ACCESS())
+      x86emu_check_sp_access();)
+    res = (*sys_rdl)(((u32)M.x86.R_SS << 4)  + M.x86.R_SP);
+    M.x86.R_SP += 4;
+    return res;
+}
+
+/****************************************************************************
+REMARKS:
+CPUID takes EAX/ECX as inputs, writes EAX/EBX/ECX/EDX as output
+****************************************************************************/
+void x86emu_cpuid(void)
+{
+    u32 feature = M.x86.R_EAX;
+
+    switch (feature) {
+    case 0:
+        /* Regardless if we have real data from the hardware, the emulator
+         * will only support upto feature 1, which we set in register EAX.
+         * Registers EBX:EDX:ECX contain a string identifying the CPU.
+         */
+        M.x86.R_EAX = 1;
+        /* EBX:EDX:ECX = "GenuineIntel" */
+        M.x86.R_EBX = 0x756e6547;
+        M.x86.R_EDX = 0x49656e69;
+        M.x86.R_ECX = 0x6c65746e;
+        break;
+    case 1:
+        /* If we don't have x86 compatible hardware, we return values from an
+         * Intel 486dx4; which was one of the first processors to have CPUID.
+         */
+        M.x86.R_EAX = 0x00000480;
+        M.x86.R_EBX = 0x00000000;
+        M.x86.R_ECX = 0x00000000;
+        M.x86.R_EDX = 0x00000002;       /* VME */
+        /* In the case that we have hardware CPUID instruction, we make sure
+         * that the features reported are limited to TSC and VME.
+         */
+        M.x86.R_EDX &= 0x00000012;
+        break;
+    default:
+        /* Finally, we don't support any additional features.  Most CPUs
+         * return all zeros when queried for invalid or unsupported feature
+         * numbers.
+         */
+        M.x86.R_EAX = 0;
+        M.x86.R_EBX = 0;
+        M.x86.R_ECX = 0;
+        M.x86.R_EDX = 0;
+        break;
+    }
+}
+
diff --git a/src/devices/oprom/x86emu/prim_ops.h b/src/devices/oprom/x86emu/prim_ops.h
new file mode 100644 (file)
index 0000000..7230a71
--- /dev/null
@@ -0,0 +1,232 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  Header file for primitive operation functions.
+*
+****************************************************************************/
+
+#ifndef __X86EMU_PRIM_OPS_H
+#define __X86EMU_PRIM_OPS_H
+
+#include "prim_asm.h"
+
+#ifdef  __cplusplus
+extern "C" {                                   /* Use "C" linkage when in C++ mode */
+#endif
+
+u16     aaa_word (u16 d);
+u16     aas_word (u16 d);
+u16     aad_word (u16 d);
+u16     aam_word (u8 d);
+u8      adc_byte (u8 d, u8 s);
+u16     adc_word (u16 d, u16 s);
+u32     adc_long (u32 d, u32 s);
+u8      add_byte (u8 d, u8 s);
+u16     add_word (u16 d, u16 s);
+u32     add_long (u32 d, u32 s);
+u8      and_byte (u8 d, u8 s);
+u16     and_word (u16 d, u16 s);
+u32     and_long (u32 d, u32 s);
+u8      cmp_byte (u8 d, u8 s);
+u16     cmp_word (u16 d, u16 s);
+u32     cmp_long (u32 d, u32 s);
+u8      daa_byte (u8 d);
+u8      das_byte (u8 d);
+u8      dec_byte (u8 d);
+u16     dec_word (u16 d);
+u32     dec_long (u32 d);
+u8      inc_byte (u8 d);
+u16     inc_word (u16 d);
+u32     inc_long (u32 d);
+u8      or_byte (u8 d, u8 s);
+u16     or_word (u16 d, u16 s);
+u32     or_long (u32 d, u32 s);
+u8      neg_byte (u8 s);
+u16     neg_word (u16 s);
+u32     neg_long (u32 s);
+u8      not_byte (u8 s);
+u16     not_word (u16 s);
+u32     not_long (u32 s);
+u8      rcl_byte (u8 d, u8 s);
+u16     rcl_word (u16 d, u8 s);
+u32     rcl_long (u32 d, u8 s);
+u8      rcr_byte (u8 d, u8 s);
+u16     rcr_word (u16 d, u8 s);
+u32     rcr_long (u32 d, u8 s);
+u8      rol_byte (u8 d, u8 s);
+u16     rol_word (u16 d, u8 s);
+u32     rol_long (u32 d, u8 s);
+u8      ror_byte (u8 d, u8 s);
+u16     ror_word (u16 d, u8 s);
+u32     ror_long (u32 d, u8 s);
+u8      shl_byte (u8 d, u8 s);
+u16     shl_word (u16 d, u8 s);
+u32     shl_long (u32 d, u8 s);
+u8      shr_byte (u8 d, u8 s);
+u16     shr_word (u16 d, u8 s);
+u32     shr_long (u32 d, u8 s);
+u8      sar_byte (u8 d, u8 s);
+u16     sar_word (u16 d, u8 s);
+u32     sar_long (u32 d, u8 s);
+u16     shld_word (u16 d, u16 fill, u8 s);
+u32     shld_long (u32 d, u32 fill, u8 s);
+u16     shrd_word (u16 d, u16 fill, u8 s);
+u32     shrd_long (u32 d, u32 fill, u8 s);
+u8      sbb_byte (u8 d, u8 s);
+u16     sbb_word (u16 d, u16 s);
+u32     sbb_long (u32 d, u32 s);
+u8      sub_byte (u8 d, u8 s);
+u16     sub_word (u16 d, u16 s);
+u32     sub_long (u32 d, u32 s);
+void    test_byte (u8 d, u8 s);
+void    test_word (u16 d, u16 s);
+void    test_long (u32 d, u32 s);
+u8      xor_byte (u8 d, u8 s);
+u16     xor_word (u16 d, u16 s);
+u32     xor_long (u32 d, u32 s);
+void    imul_byte (u8 s);
+void    imul_word (u16 s);
+void    imul_long (u32 s);
+void   imul_long_direct(u32 *res_lo, u32* res_hi,u32 d, u32 s);
+void    mul_byte (u8 s);
+void    mul_word (u16 s);
+void    mul_long (u32 s);
+void    idiv_byte (u8 s);
+void    idiv_word (u16 s);
+void    idiv_long (u32 s);
+void    div_byte (u8 s);
+void    div_word (u16 s);
+void    div_long (u32 s);
+void    ins (int size);
+void    outs (int size);
+u16     mem_access_word (int addr);
+void    push_word (u16 w);
+void    push_long (u32 w);
+u16     pop_word (void);
+u32    pop_long (void);
+void   x86emu_cpuid (void);
+
+#if  defined(__HAVE_INLINE_ASSEMBLER__) && !defined(PRIM_OPS_NO_REDEFINE_ASM)
+
+#define        aaa_word(d)             aaa_word_asm(&M.x86.R_EFLG,d)
+#define aas_word(d)            aas_word_asm(&M.x86.R_EFLG,d)
+#define aad_word(d)            aad_word_asm(&M.x86.R_EFLG,d)
+#define aam_word(d)            aam_word_asm(&M.x86.R_EFLG,d)
+#define adc_byte(d,s)  adc_byte_asm(&M.x86.R_EFLG,d,s)
+#define adc_word(d,s)  adc_word_asm(&M.x86.R_EFLG,d,s)
+#define adc_long(d,s)  adc_long_asm(&M.x86.R_EFLG,d,s)
+#define add_byte(d,s)  add_byte_asm(&M.x86.R_EFLG,d,s)
+#define add_word(d,s)  add_word_asm(&M.x86.R_EFLG,d,s)
+#define add_long(d,s)  add_long_asm(&M.x86.R_EFLG,d,s)
+#define and_byte(d,s)  and_byte_asm(&M.x86.R_EFLG,d,s)
+#define and_word(d,s)  and_word_asm(&M.x86.R_EFLG,d,s)
+#define and_long(d,s)  and_long_asm(&M.x86.R_EFLG,d,s)
+#define cmp_byte(d,s)  cmp_byte_asm(&M.x86.R_EFLG,d,s)
+#define cmp_word(d,s)  cmp_word_asm(&M.x86.R_EFLG,d,s)
+#define cmp_long(d,s)  cmp_long_asm(&M.x86.R_EFLG,d,s)
+#define daa_byte(d)            daa_byte_asm(&M.x86.R_EFLG,d)
+#define das_byte(d)            das_byte_asm(&M.x86.R_EFLG,d)
+#define dec_byte(d)            dec_byte_asm(&M.x86.R_EFLG,d)
+#define dec_word(d)            dec_word_asm(&M.x86.R_EFLG,d)
+#define dec_long(d)            dec_long_asm(&M.x86.R_EFLG,d)
+#define inc_byte(d)            inc_byte_asm(&M.x86.R_EFLG,d)
+#define inc_word(d)            inc_word_asm(&M.x86.R_EFLG,d)
+#define inc_long(d)            inc_long_asm(&M.x86.R_EFLG,d)
+#define or_byte(d,s)   or_byte_asm(&M.x86.R_EFLG,d,s)
+#define or_word(d,s)   or_word_asm(&M.x86.R_EFLG,d,s)
+#define or_long(d,s)   or_long_asm(&M.x86.R_EFLG,d,s)
+#define neg_byte(s)            neg_byte_asm(&M.x86.R_EFLG,s)
+#define neg_word(s)            neg_word_asm(&M.x86.R_EFLG,s)
+#define neg_long(s)            neg_long_asm(&M.x86.R_EFLG,s)
+#define not_byte(s)            not_byte_asm(&M.x86.R_EFLG,s)
+#define not_word(s)            not_word_asm(&M.x86.R_EFLG,s)
+#define not_long(s)            not_long_asm(&M.x86.R_EFLG,s)
+#define rcl_byte(d,s)  rcl_byte_asm(&M.x86.R_EFLG,d,s)
+#define rcl_word(d,s)  rcl_word_asm(&M.x86.R_EFLG,d,s)
+#define rcl_long(d,s)  rcl_long_asm(&M.x86.R_EFLG,d,s)
+#define rcr_byte(d,s)  rcr_byte_asm(&M.x86.R_EFLG,d,s)
+#define rcr_word(d,s)  rcr_word_asm(&M.x86.R_EFLG,d,s)
+#define rcr_long(d,s)  rcr_long_asm(&M.x86.R_EFLG,d,s)
+#define rol_byte(d,s)  rol_byte_asm(&M.x86.R_EFLG,d,s)
+#define rol_word(d,s)  rol_word_asm(&M.x86.R_EFLG,d,s)
+#define rol_long(d,s)  rol_long_asm(&M.x86.R_EFLG,d,s)
+#define ror_byte(d,s)  ror_byte_asm(&M.x86.R_EFLG,d,s)
+#define ror_word(d,s)  ror_word_asm(&M.x86.R_EFLG,d,s)
+#define ror_long(d,s)  ror_long_asm(&M.x86.R_EFLG,d,s)
+#define shl_byte(d,s)  shl_byte_asm(&M.x86.R_EFLG,d,s)
+#define shl_word(d,s)  shl_word_asm(&M.x86.R_EFLG,d,s)
+#define shl_long(d,s)  shl_long_asm(&M.x86.R_EFLG,d,s)
+#define shr_byte(d,s)  shr_byte_asm(&M.x86.R_EFLG,d,s)
+#define shr_word(d,s)  shr_word_asm(&M.x86.R_EFLG,d,s)
+#define shr_long(d,s)  shr_long_asm(&M.x86.R_EFLG,d,s)
+#define sar_byte(d,s)  sar_byte_asm(&M.x86.R_EFLG,d,s)
+#define sar_word(d,s)  sar_word_asm(&M.x86.R_EFLG,d,s)
+#define sar_long(d,s)  sar_long_asm(&M.x86.R_EFLG,d,s)
+#define shld_word(d,fill,s)    shld_word_asm(&M.x86.R_EFLG,d,fill,s)
+#define shld_long(d,fill,s)    shld_long_asm(&M.x86.R_EFLG,d,fill,s)
+#define shrd_word(d,fill,s)    shrd_word_asm(&M.x86.R_EFLG,d,fill,s)
+#define shrd_long(d,fill,s)    shrd_long_asm(&M.x86.R_EFLG,d,fill,s)
+#define sbb_byte(d,s)  sbb_byte_asm(&M.x86.R_EFLG,d,s)
+#define sbb_word(d,s)  sbb_word_asm(&M.x86.R_EFLG,d,s)
+#define sbb_long(d,s)  sbb_long_asm(&M.x86.R_EFLG,d,s)
+#define sub_byte(d,s)  sub_byte_asm(&M.x86.R_EFLG,d,s)
+#define sub_word(d,s)  sub_word_asm(&M.x86.R_EFLG,d,s)
+#define sub_long(d,s)  sub_long_asm(&M.x86.R_EFLG,d,s)
+#define test_byte(d,s) test_byte_asm(&M.x86.R_EFLG,d,s)
+#define test_word(d,s) test_word_asm(&M.x86.R_EFLG,d,s)
+#define test_long(d,s) test_long_asm(&M.x86.R_EFLG,d,s)
+#define xor_byte(d,s)  xor_byte_asm(&M.x86.R_EFLG,d,s)
+#define xor_word(d,s)  xor_word_asm(&M.x86.R_EFLG,d,s)
+#define xor_long(d,s)  xor_long_asm(&M.x86.R_EFLG,d,s)
+#define imul_byte(s)   imul_byte_asm(&M.x86.R_EFLG,&M.x86.R_AX,M.x86.R_AL,s)
+#define imul_word(s)   imul_word_asm(&M.x86.R_EFLG,&M.x86.R_AX,&M.x86.R_DX,M.x86.R_AX,s)
+#define imul_long(s)   imul_long_asm(&M.x86.R_EFLG,&M.x86.R_EAX,&M.x86.R_EDX,M.x86.R_EAX,s)
+#define imul_long_direct(res_lo,res_hi,d,s)    imul_long_asm(&M.x86.R_EFLG,res_lo,res_hi,d,s)
+#define mul_byte(s)            mul_byte_asm(&M.x86.R_EFLG,&M.x86.R_AX,M.x86.R_AL,s)
+#define mul_word(s)            mul_word_asm(&M.x86.R_EFLG,&M.x86.R_AX,&M.x86.R_DX,M.x86.R_AX,s)
+#define mul_long(s)            mul_long_asm(&M.x86.R_EFLG,&M.x86.R_EAX,&M.x86.R_EDX,M.x86.R_EAX,s)
+#define idiv_byte(s)   idiv_byte_asm(&M.x86.R_EFLG,&M.x86.R_AL,&M.x86.R_AH,M.x86.R_AX,s)
+#define idiv_word(s)   idiv_word_asm(&M.x86.R_EFLG,&M.x86.R_AX,&M.x86.R_DX,M.x86.R_AX,M.x86.R_DX,s)
+#define idiv_long(s)   idiv_long_asm(&M.x86.R_EFLG,&M.x86.R_EAX,&M.x86.R_EDX,M.x86.R_EAX,M.x86.R_EDX,s)
+#define div_byte(s)            div_byte_asm(&M.x86.R_EFLG,&M.x86.R_AL,&M.x86.R_AH,M.x86.R_AX,s)
+#define div_word(s)            div_word_asm(&M.x86.R_EFLG,&M.x86.R_AX,&M.x86.R_DX,M.x86.R_AX,M.x86.R_DX,s)
+#define div_long(s)            div_long_asm(&M.x86.R_EFLG,&M.x86.R_EAX,&M.x86.R_EDX,M.x86.R_EAX,M.x86.R_EDX,s)
+
+#endif
+
+#ifdef  __cplusplus
+}                                              /* End of "C" linkage for C++           */
+#endif
+
+#endif /* __X86EMU_PRIM_OPS_H */
diff --git a/src/devices/oprom/x86emu/sys.c b/src/devices/oprom/x86emu/sys.c
new file mode 100644 (file)
index 0000000..957e0ca
--- /dev/null
@@ -0,0 +1,406 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  This file includes subroutines which are related to
+*                              programmed I/O and memory access. Included in this module
+*                              are default functions with limited usefulness. For real
+*                              uses these functions will most likely be overriden by the
+*                              user library.
+*
+****************************************************************************/
+/* $XFree86: xc/extras/x86emu/src/x86emu/sys.c,v 1.5 2000/08/23 22:10:01 tsi Exp $ */
+
+#include <arch/io.h>
+#include <x86emu/x86emu.h>
+#include <x86emu/regs.h>
+#include "debug.h"
+#include "prim_ops.h"
+
+#ifdef IN_MODULE
+#include "xf86_ansic.h"
+#else
+#include <string.h>
+#endif
+/*------------------------- Global Variables ------------------------------*/
+
+X86EMU_sysEnv _X86EMU_env;     /* Global emulator machine state */
+X86EMU_intrFuncs _X86EMU_intrTab[256];
+
+/*----------------------------- Implementation ----------------------------*/
+
+/* compute a pointer. This replaces code scattered all over the place! */
+static u8 *mem_ptr(u32 addr, int size)
+{
+       u8 *retaddr = 0;
+
+       if (addr > M.mem_size - size) {
+               DB(printf("mem_ptr: address %#x out of range!\n", addr);)
+                   HALT_SYS();
+       }
+       if (addr < 0x200) {
+               //printf("%x:%x updating int vector 0x%x\n",
+               //       M.x86.R_CS, M.x86.R_IP, addr >> 2);
+       }
+       retaddr = (u8 *) (M.mem_base + addr);
+
+       return retaddr;
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - Emulator memory address to read
+
+RETURNS:
+Byte value read from emulator memory.
+
+REMARKS:
+Reads a byte value from the emulator memory. 
+****************************************************************************/
+u8 X86API rdb(u32 addr)
+{
+       u8 val;
+       u8 *ptr;
+
+       ptr = mem_ptr(addr, 1);
+
+       val = *ptr;
+       DB(if (DEBUG_MEM_TRACE())
+          printf("%#08x 1 -> %#x\n", addr, val);)
+               return val;
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - Emulator memory address to read
+
+RETURNS:
+Word value read from emulator memory.
+
+REMARKS:
+Reads a word value from the emulator memory.
+****************************************************************************/
+u16 X86API rdw(u32 addr)
+{
+       u16 val = 0;
+       u8 *ptr;
+
+       ptr = mem_ptr(addr, 2);
+       val = *(u16 *) (ptr);
+
+       DB(if (DEBUG_MEM_TRACE())
+          printf("%#08x 2 -> %#x\n", addr, val);)
+       return val;
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - Emulator memory address to read
+
+RETURNS:
+Long value read from emulator memory.
+REMARKS:
+Reads a long value from the emulator memory. 
+****************************************************************************/
+u32 X86API rdl(u32 addr)
+{
+       u32 val = 0;
+       u8 *ptr;
+
+       ptr = mem_ptr(addr, 4);
+               val = *(u32 *) (ptr);
+
+       DB(if (DEBUG_MEM_TRACE())
+          printf("%#08x 4 -> %#x\n", addr, val);)
+       return val;
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - Emulator memory address to read
+val            - Value to store
+
+REMARKS:
+Writes a byte value to emulator memory.
+****************************************************************************/
+void X86API wrb(u32 addr, u8 val)
+{
+       u8 *ptr;
+
+       ptr = mem_ptr(addr, 1);
+       *(u8 *) (ptr) = val;
+
+       DB(if (DEBUG_MEM_TRACE())
+          printf("%#08x 1 <- %#x\n", addr, val);)
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - Emulator memory address to read
+val            - Value to store
+
+REMARKS:
+Writes a word value to emulator memory.
+****************************************************************************/
+void X86API wrw(u32 addr, u16 val)
+{
+       u8 *ptr;
+
+       ptr = mem_ptr(addr, 2);
+       *(u16 *) (ptr) = val;
+
+       DB(if (DEBUG_MEM_TRACE())
+          printf("%#08x 2 <- %#x\n", addr, val);)
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - Emulator memory address to read
+val            - Value to store
+
+REMARKS:
+Writes a long value to emulator memory. 
+****************************************************************************/
+void X86API wrl(u32 addr, u32 val)
+{
+       u8 *ptr;
+
+       ptr = mem_ptr(addr, 4);
+       *(u32 *) (ptr) = val;
+
+       DB(if (DEBUG_MEM_TRACE())
+          printf("%#08x 4 <- %#x\n", addr, val);)
+
+
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - PIO address to read
+RETURN:
+0
+REMARKS:
+Default PIO byte read function. Doesn't perform real inb.
+****************************************************************************/
+static u8 X86API p_inb(X86EMU_pioAddr addr)
+{
+       DB(if (DEBUG_IO_TRACE())
+               printf("inb %#04x \n", addr);)
+       return inb(addr);
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - PIO address to read
+RETURN:
+0
+REMARKS:
+Default PIO word read function. Doesn't perform real inw.
+****************************************************************************/
+static u16 X86API p_inw(X86EMU_pioAddr addr)
+{
+       DB(if (DEBUG_IO_TRACE())
+               printf("inw %#04x \n", addr);)
+       return inw(addr);
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - PIO address to read
+RETURN:
+0
+REMARKS:
+Default PIO long read function. Doesn't perform real inl.
+****************************************************************************/
+static u32 X86API p_inl(X86EMU_pioAddr addr)
+{
+       DB(if (DEBUG_IO_TRACE())
+               printf("inl %#04x \n", addr);)
+       return inl(addr);
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - PIO address to write
+val     - Value to store
+REMARKS:
+Default PIO byte write function. Doesn't perform real outb.
+****************************************************************************/
+static void X86API p_outb(X86EMU_pioAddr addr, u8 val)
+{
+       DB(if (DEBUG_IO_TRACE())
+               printf("outb %#02x -> %#04x \n", val, addr);)
+       outb(val, addr);
+       return;
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - PIO address to write
+val     - Value to store
+REMARKS:
+Default PIO word write function. Doesn't perform real outw.
+****************************************************************************/
+static void X86API p_outw(X86EMU_pioAddr addr, u16 val)
+{
+       DB(if (DEBUG_IO_TRACE())
+               printf("outw %#04x -> %#04x \n", val, addr);)
+       outw(val, addr);
+       return;
+}
+
+/****************************************************************************
+PARAMETERS:
+addr   - PIO address to write
+val     - Value to store
+REMARKS:
+Default PIO ;ong write function. Doesn't perform real outl.
+****************************************************************************/
+static void X86API p_outl(X86EMU_pioAddr addr, u32 val)
+{
+       DB(if (DEBUG_IO_TRACE())
+              printf("outl %#08x -> %#04x \n", val, addr);)
+
+       outl(val, addr);
+       return;
+}
+
+/*------------------------- Global Variables ------------------------------*/
+
+u8(X86APIP sys_rdb) (u32 addr) = rdb;
+u16(X86APIP sys_rdw) (u32 addr) = rdw;
+u32(X86APIP sys_rdl) (u32 addr) = rdl;
+void (X86APIP sys_wrb) (u32 addr, u8 val) = wrb;
+void (X86APIP sys_wrw) (u32 addr, u16 val) = wrw;
+void (X86APIP sys_wrl) (u32 addr, u32 val) = wrl;
+u8(X86APIP sys_inb) (X86EMU_pioAddr addr) = p_inb;
+u16(X86APIP sys_inw) (X86EMU_pioAddr addr) = p_inw;
+u32(X86APIP sys_inl) (X86EMU_pioAddr addr) = p_inl;
+void (X86APIP sys_outb) (X86EMU_pioAddr addr, u8 val) = p_outb;
+void (X86APIP sys_outw) (X86EMU_pioAddr addr, u16 val) = p_outw;
+void (X86APIP sys_outl) (X86EMU_pioAddr addr, u32 val) = p_outl;
+
+/*----------------------------- Setup -------------------------------------*/
+
+/****************************************************************************
+PARAMETERS:
+funcs  - New memory function pointers to make active
+
+REMARKS:
+This function is used to set the pointers to functions which access
+memory space, allowing the user application to override these functions
+and hook them out as necessary for their application.
+****************************************************************************/
+void X86EMU_setupMemFuncs(X86EMU_memFuncs * funcs)
+{
+       sys_rdb = funcs->rdb;
+       sys_rdw = funcs->rdw;
+       sys_rdl = funcs->rdl;
+       sys_wrb = funcs->wrb;
+       sys_wrw = funcs->wrw;
+       sys_wrl = funcs->wrl;
+}
+
+/****************************************************************************
+PARAMETERS:
+funcs  - New programmed I/O function pointers to make active
+
+REMARKS:
+This function is used to set the pointers to functions which access
+I/O space, allowing the user application to override these functions
+and hook them out as necessary for their application.
+****************************************************************************/
+void X86EMU_setupPioFuncs(X86EMU_pioFuncs * funcs)
+{
+       sys_inb = funcs->inb;
+       sys_inw = funcs->inw;
+       sys_inl = funcs->inl;
+       sys_outb = funcs->outb;
+       sys_outw = funcs->outw;
+       sys_outl = funcs->outl;
+}
+
+/****************************************************************************
+PARAMETERS:
+funcs  - New interrupt vector table to make active
+
+REMARKS:
+This function is used to set the pointers to functions which handle
+interrupt processing in the emulator, allowing the user application to
+hook interrupts as necessary for their application. Any interrupts that
+are not hooked by the user application, and reflected and handled internally
+in the emulator via the interrupt vector table. This allows the application
+to get control when the code being emulated executes specific software
+interrupts.
+****************************************************************************/
+void X86EMU_setupIntrFuncs(X86EMU_intrFuncs funcs[])
+{
+       int i;
+
+       for (i = 0; i < 256; i++)
+               _X86EMU_intrTab[i] = NULL;
+       if (funcs) {
+               for (i = 0; i < 256; i++)
+                       _X86EMU_intrTab[i] = funcs[i];
+       }
+}
+
+/****************************************************************************
+PARAMETERS:
+int    - New software interrupt to prepare for
+
+REMARKS:
+This function is used to set up the emulator state to exceute a software
+interrupt. This can be used by the user application code to allow an
+interrupt to be hooked, examined and then reflected back to the emulator
+so that the code in the emulator will continue processing the software
+interrupt as per normal. This essentially allows system code to actively
+hook and handle certain software interrupts as necessary.
+****************************************************************************/
+void X86EMU_prepareForInt(int num)
+{
+       push_word((u16) M.x86.R_FLG);
+       CLEAR_FLAG(F_IF);
+       CLEAR_FLAG(F_TF);
+       push_word(M.x86.R_CS);
+       M.x86.R_CS = mem_access_word(num * 4 + 2);
+       push_word(M.x86.R_IP);
+       M.x86.R_IP = mem_access_word(num * 4);
+       M.x86.intr = 0;
+}
+
+void X86EMU_setMemBase(void *base, size_t size)
+{
+       M.mem_base = (unsigned long) base;
+       M.mem_size = size;
+}
diff --git a/src/devices/oprom/x86emu/x86emui.h b/src/devices/oprom/x86emu/x86emui.h
new file mode 100644 (file)
index 0000000..d693e33
--- /dev/null
@@ -0,0 +1,103 @@
+/****************************************************************************
+*
+*                                              Realmode X86 Emulator Library
+*
+*              Copyright (C) 1996-1999 SciTech Software, Inc.
+*                                   Copyright (C) David Mosberger-Tang
+*                                         Copyright (C) 1999 Egbert Eich
+*
+*  ========================================================================
+*
+*  Permission to use, copy, modify, distribute, and sell this software and
+*  its documentation for any purpose is hereby granted without fee,
+*  provided that the above copyright notice appear in all copies and that
+*  both that copyright notice and this permission notice appear in
+*  supporting documentation, and that the name of the authors not be used
+*  in advertising or publicity pertaining to distribution of the software
+*  without specific, written prior permission.  The authors makes no
+*  representations about the suitability of this software for any purpose.
+*  It is provided "as is" without express or implied warranty.
+*
+*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
+*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
+*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
+*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
+*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
+*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
+*  PERFORMANCE OF THIS SOFTWARE.
+*
+*  ========================================================================
+*
+* Language:            ANSI C
+* Environment: Any
+* Developer:    Kendall Bennett
+*
+* Description:  Header file for system specific functions. These functions
+*                              are always compiled and linked in the OS depedent libraries,
+*                              and never in a binary portable driver.
+*
+****************************************************************************/
+
+/* $XFree86: xc/extras/x86emu/src/x86emu/x86emu/x86emui.h,v 1.4 2001/04/01 13:59:58 tsi Exp $ */
+
+#ifndef __X86EMU_X86EMUI_H
+#define __X86EMU_X86EMUI_H
+
+/* If we are compiling in C++ mode, we can compile some functions as
+ * inline to increase performance (however the code size increases quite
+ * dramatically in this case).
+ */
+
+#if    defined(__cplusplus) && !defined(_NO_INLINE)
+#define        _INLINE inline
+#else
+#define        _INLINE static
+#endif
+
+/* Get rid of unused parameters in C++ compilation mode */
+
+#ifdef __cplusplus
+#define        X86EMU_UNUSED(v)
+#else
+#define        X86EMU_UNUSED(v)        v
+#endif
+
+#include "x86emu/x86emu.h"
+#include "x86emu/regs.h"
+#include "debug.h"
+#include "decode.h"
+#include "ops.h"
+#include "prim_ops.h"
+#include "fpu.h"
+#include "x86emu/fpu_regs.h"
+
+#ifdef IN_MODULE
+#include <xf86_ansic.h>
+#else
+#include <string.h>
+#endif                                                                                           
+/*--------------------------- Inline Functions ----------------------------*/
+
+#ifdef  __cplusplus
+extern "C" {                                   /* Use "C" linkage when in C++ mode */
+#endif
+
+extern u8      (X86APIP sys_rdb)(u32 addr);
+extern u16     (X86APIP sys_rdw)(u32 addr);
+extern u32     (X86APIP sys_rdl)(u32 addr);
+extern void (X86APIP sys_wrb)(u32 addr,u8 val);
+extern void (X86APIP sys_wrw)(u32 addr,u16 val);
+extern void (X86APIP sys_wrl)(u32 addr,u32 val);
+
+extern u8      (X86APIP sys_inb)(X86EMU_pioAddr addr);
+extern u16     (X86APIP sys_inw)(X86EMU_pioAddr addr);
+extern u32     (X86APIP sys_inl)(X86EMU_pioAddr addr);
+extern void (X86APIP sys_outb)(X86EMU_pioAddr addr,u8 val);
+extern void (X86APIP sys_outw)(X86EMU_pioAddr addr,u16 val);
+extern void    (X86APIP sys_outl)(X86EMU_pioAddr addr,u32 val);
+
+#ifdef  __cplusplus
+}                                              /* End of "C" linkage for C++           */
+#endif
+
+#endif /* __X86EMU_X86EMUI_H */
diff --git a/src/devices/oprom/yabel/Makefile.inc b/src/devices/oprom/yabel/Makefile.inc
new file mode 100644 (file)
index 0000000..f89de9b
--- /dev/null
@@ -0,0 +1,9 @@
+obj-y += biosemu.o
+obj-y += debug.o
+obj-y += device.o
+obj-y += interrupt.o
+obj-y += io.o
+obj-y += mem.o
+obj-y += pmm.o
+obj-y += vbe.o
+subdirs-y += compat
diff --git a/src/devices/oprom/yabel/biosemu.c b/src/devices/oprom/yabel/biosemu.c
new file mode 100644 (file)
index 0000000..294d81f
--- /dev/null
@@ -0,0 +1,386 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * Copyright (c) 2008, 2009 Pattrick Hueper <phueper@hueper.net>
+ * Copyright (c) 2010 coresystems GmbH
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#include <string.h>
+#include <types.h>
+
+#include "debug.h"
+
+#include <x86emu/x86emu.h>
+#include <x86emu/regs.h>
+#include "../x86emu/prim_ops.h"
+
+#include "biosemu.h"
+#include "io.h"
+#include "mem.h"
+#include "interrupt.h"
+#include "device.h"
+#include "pmm.h"
+
+#include <device/device.h>
+#include "compat/rtas.h"
+
+static X86EMU_memFuncs my_mem_funcs = {
+       my_rdb, my_rdw, my_rdl,
+       my_wrb, my_wrw, my_wrl
+};
+
+static X86EMU_pioFuncs my_pio_funcs = {
+       my_inb, my_inw, my_inl,
+       my_outb, my_outw, my_outl
+};
+
+/* interrupt function override array (see biosemu.h) */
+yabel_handleIntFunc yabel_intFuncArray[256];
+
+/* main entry into YABEL biosemu, arguments are:
+ * *biosmem = pointer to virtual memory
+ * biosmem_size = size of the virtual memory
+ * *dev = pointer to the device to be initialised
+ * rom_addr = address of the OptionROM to be executed, if this is = 0, YABEL
+ *     will look for an ExpansionROM BAR and use the code from there.
+ */
+u32
+biosemu(u8 *biosmem, u32 biosmem_size, struct device * dev, unsigned long rom_addr)
+{
+       u8 *rom_image;
+       int i = 0;
+#if CONFIG_X86EMU_DEBUG
+       debug_flags = 0;
+#if defined(CONFIG_X86EMU_DEBUG_JMP) && CONFIG_X86EMU_DEBUG_JMP
+       debug_flags |= DEBUG_JMP;
+#endif
+#if defined(CONFIG_X86EMU_DEBUG_TRACE) && CONFIG_X86EMU_DEBUG_TRACE
+       debug_flags |= DEBUG_TRACE_X86EMU;
+#endif
+#if defined(CONFIG_X86EMU_DEBUG_PNP) && CONFIG_X86EMU_DEBUG_PNP
+       debug_flags |= DEBUG_PNP;
+#endif
+#if defined(CONFIG_X86EMU_DEBUG_DISK) && CONFIG_X86EMU_DEBUG_DISK
+       debug_flags |= DEBUG_DISK;
+#endif
+#if defined(CONFIG_X86EMU_DEBUG_PMM) && CONFIG_X86EMU_DEBUG_PMM
+       debug_flags |= DEBUG_PMM;
+#endif
+#if defined(CONFIG_X86EMU_DEBUG_VBE) && CONFIG_X86EMU_DEBUG_VBE
+       debug_flags |= DEBUG_VBE;
+#endif
+#if defined(CONFIG_X86EMU_DEBUG_INT10) && CONFIG_X86EMU_DEBUG_INT10
+       debug_flags |= DEBUG_PRINT_INT10;
+#endif
+#if defined(CONFIG_X86EMU_DEBUG_INTERRUPTS) && CONFIG_X86EMU_DEBUG_INTERRUPTS
+       debug_flags |= DEBUG_INTR;
+#endif
+#if defined(CONFIG_X86EMU_DEBUG_CHECK_VMEM_ACCESS) && CONFIG_X86EMU_DEBUG_CHECK_VMEM_ACCESS
+       debug_flags |= DEBUG_CHECK_VMEM_ACCESS;
+#endif
+#if defined(CONFIG_X86EMU_DEBUG_MEM) && CONFIG_X86EMU_DEBUG_MEM
+       debug_flags |= DEBUG_MEM;
+#endif
+#if defined(CONFIG_X86EMU_DEBUG_IO) && CONFIG_X86EMU_DEBUG_IO
+       debug_flags |= DEBUG_IO;
+#endif
+
+#endif
+       if (biosmem_size < MIN_REQUIRED_VMEM_SIZE) {
+               printf("Error: Not enough virtual memory: %x, required: %x!\n",
+                      biosmem_size, MIN_REQUIRED_VMEM_SIZE);
+               return -1;
+       }
+       if (biosemu_dev_init(dev) != 0) {
+               printf("Error initializing device!\n");
+               return -1;
+       }
+       if (biosemu_dev_check_exprom(rom_addr) != 0) {
+               printf("Error: Device Expansion ROM invalid!\n");
+               return -1;
+       }
+       rom_image = (u8 *) bios_device.img_addr;
+       DEBUG_PRINTF("executing rom_image from %p\n", rom_image);
+       DEBUG_PRINTF("biosmem at %p\n", biosmem);
+
+       DEBUG_PRINTF("Image Size: %d\n", bios_device.img_size);
+
+       // in case we jump somewhere unexpected, or execution is finished,
+       // fill the biosmem with hlt instructions (0xf4)
+       // But we have to be careful: If biosmem is 0x00000000 we're running
+       // in the lower 1MB and we must not wipe memory like that.
+       if (biosmem) {
+               DEBUG_PRINTF("Clearing biosmem\n");
+               memset(biosmem, 0xf4, biosmem_size);
+       }
+
+       X86EMU_setMemBase(biosmem, biosmem_size);
+
+       DEBUG_PRINTF("membase set: %08x, size: %08x\n", (int) M.mem_base,
+                    (int) M.mem_size);
+
+       // copy expansion ROM image to segment OPTION_ROM_CODE_SEGMENT
+       // NOTE: this sometimes fails, some bytes are 0x00... so we compare
+       // after copying and do some retries...
+       u8 *mem_img = biosmem + (OPTION_ROM_CODE_SEGMENT << 4);
+       u8 copy_count = 0;
+       u8 cmp_result = 0;
+       do {
+#if 0
+               set_ci();
+               memcpy(mem_img, rom_image, len);
+               clr_ci();
+#else
+               // memcpy fails... try copy byte-by-byte with set/clr_ci
+               u8 c;
+               for (i = 0; i < bios_device.img_size; i++) {
+                       set_ci();
+                       c = *(rom_image + i);
+                       if (c != *(rom_image + i)) {
+                               clr_ci();
+                               printf("Copy failed at: %x/%x\n", i,
+                                      bios_device.img_size);
+                               printf("rom_image(%x): %x, mem_img(%x): %x\n",
+                                      i, *(rom_image + i), i, *(mem_img + i));
+                               break;
+                       }
+                       clr_ci();
+                       *(mem_img + i) = c;
+               }
+#endif
+               copy_count++;
+               set_ci();
+               cmp_result = memcmp(mem_img, rom_image, bios_device.img_size);
+               clr_ci();
+       }
+       while ((copy_count < 5) && (cmp_result != 0));
+       if (cmp_result != 0) {
+               printf
+                   ("\nCopying Expansion ROM Image to Memory failed after %d retries! (%x)\n",
+                    copy_count, cmp_result);
+               dump(rom_image, 0x20);
+               dump(mem_img, 0x20);
+               return 0;
+       }
+       // setup default Interrupt Vectors
+       // some expansion ROMs seem to check for these addresses..
+       // each handler is only an IRET (0xCF) instruction
+       // ROM BIOS Int 10 Handler F000:F065
+       my_wrl(0x10 * 4, 0xf000f065);
+       my_wrb(0x000ff065, 0xcf);
+       // ROM BIOS Int 11 Handler F000:F84D
+       my_wrl(0x11 * 4, 0xf000f84d);
+       my_wrb(0x000ff84d, 0xcf);
+       // ROM BIOS Int 12 Handler F000:F841
+       my_wrl(0x12 * 4, 0xf000f841);
+       my_wrb(0x000ff841, 0xcf);
+       // ROM BIOS Int 13 Handler F000:EC59
+       my_wrl(0x13 * 4, 0xf000ec59);
+       my_wrb(0x000fec59, 0xcf);
+       // ROM BIOS Int 14 Handler F000:E739
+       my_wrl(0x14 * 4, 0xf000e739);
+       my_wrb(0x000fe739, 0xcf);
+       // ROM BIOS Int 15 Handler F000:F859
+       my_wrl(0x15 * 4, 0xf000f859);
+       my_wrb(0x000ff859, 0xcf);
+       // ROM BIOS Int 16 Handler F000:E82E
+       my_wrl(0x16 * 4, 0xf000e82e);
+       my_wrb(0x000fe82e, 0xcf);
+       // ROM BIOS Int 17 Handler F000:EFD2
+       my_wrl(0x17 * 4, 0xf000efd2);
+       my_wrb(0x000fefd2, 0xcf);
+       // ROM BIOS Int 1A Handler F000:FE6E
+       my_wrl(0x1a * 4, 0xf000fe6e);
+       my_wrb(0x000ffe6e, 0xcf);
+
+       // setup BIOS Data Area (0000:04xx, or 0040:00xx)
+       // we currently 0 this area, meaning "we dont have
+       // any hardware" :-) no serial/parallel ports, floppys, ...
+       memset(biosmem + 0x400, 0x0, 0x100);
+
+       // at offset 13h in BDA is the memory size in kbytes
+       my_wrw(0x413, biosmem_size / 1024);
+       // at offset 0eh in BDA is the segment of the Extended BIOS Data Area
+       // see setup further down
+       my_wrw(0x40e, INITIAL_EBDA_SEGMENT);
+       // TODO: setup BDA Video Data ( offset 49h-66h)
+       // e.g. to store video mode, cursor position, ...
+       // in int10 (done) handler and VBE Functions
+
+       // TODO: setup BDA Fixed Disk Data
+       // 74h: Fixed Disk Last Operation Status
+       // 75h: Fixed Disk Number of Disk Drives
+
+       // TODO: check BDA for further needed data...
+
+       //setup Extended BIOS Data Area
+       //we currently 0 this area
+       memset(biosmem + (INITIAL_EBDA_SEGMENT << 4), 0, INITIAL_EBDA_SIZE);
+       // at offset 0h in EBDA is the size of the EBDA in KB
+       my_wrw((INITIAL_EBDA_SEGMENT << 4) + 0x0, INITIAL_EBDA_SIZE / 1024);
+       //TODO: check for further needed EBDA data...
+
+       // setup  original ROM BIOS Area (F000:xxxx)
+       const char *date = "06/11/99";
+       for (i = 0; date[i]; i++)
+               my_wrb(0xffff5 + i, date[i]);
+       // set up eisa ident string
+       const char *ident = "PCI_ISA";
+       for (i = 0; ident[i]; i++)
+               my_wrb(0xfffd9 + i, ident[i]);
+
+       // write system model id for IBM-AT
+       // according to "Ralf Browns Interrupt List" Int15 AH=C0 Table 515,
+       // model FC is the original AT and also used in all DOSEMU Versions.
+       my_wrb(0xFFFFE, 0xfc);
+
+       //setup interrupt handler
+       X86EMU_intrFuncs intrFuncs[256];
+       for (i = 0; i < 256; i++)
+               intrFuncs[i] = handleInterrupt;
+       X86EMU_setupIntrFuncs(intrFuncs);
+       X86EMU_setupPioFuncs(&my_pio_funcs);
+       X86EMU_setupMemFuncs(&my_mem_funcs);
+
+       //setup PMM struct in BIOS_DATA_SEGMENT, offset 0x0
+       u8 pmm_length = pmm_setup(BIOS_DATA_SEGMENT, 0x0);      
+       if (pmm_length <= 0) {
+               printf ("\nYABEL: Warning: PMM Area could not be setup. PMM not available (%x)\n",
+                    pmm_length);
+               return 0;
+       } else {
+               CHECK_DBG(DEBUG_PMM) {
+                       /* test the PMM */
+                       pmm_test();
+                       /* and clean it again by calling pmm_setup... */
+                       pmm_length = pmm_setup(BIOS_DATA_SEGMENT, 0x0);
+               }
+       }
+       // setup the CPU
+       M.x86.R_AH = bios_device.bus;
+       M.x86.R_AL = bios_device.devfn;
+       M.x86.R_DX = 0x80;
+       M.x86.R_EIP = 3;
+       M.x86.R_CS = OPTION_ROM_CODE_SEGMENT;
+
+       // Initialize stack and data segment
+       M.x86.R_SS = STACK_SEGMENT;
+       M.x86.R_SP = STACK_START_OFFSET;
+       M.x86.R_DS = DATA_SEGMENT;
+
+       // push a HLT instruction and a pointer to it onto the stack
+       // any return will pop the pointer and jump to the HLT, thus
+       // exiting (more or less) cleanly
+       push_word(0xf4f4);      // F4=HLT
+       push_word(M.x86.R_SS);
+       push_word(M.x86.R_SP + 2);
+
+       CHECK_DBG(DEBUG_TRACE_X86EMU) {
+               X86EMU_trace_on();
+#if 0
+       } else {
+               M.x86.debug |= DEBUG_SAVE_IP_CS_F;
+               M.x86.debug |= DEBUG_DECODE_F;
+               M.x86.debug |= DEBUG_DECODE_NOPRINT_F;
+#endif
+       }
+       CHECK_DBG(DEBUG_JMP) {
+               M.x86.debug |= DEBUG_TRACEJMP_F;
+               M.x86.debug |= DEBUG_TRACEJMP_REGS_F;
+               M.x86.debug |= DEBUG_TRACECALL_F;
+               M.x86.debug |= DEBUG_TRACECALL_REGS_F;
+       }
+
+       DEBUG_PRINTF("Executing Initialization Vector...\n");
+       X86EMU_exec();
+       DEBUG_PRINTF("done\n");
+
+       /* According to the PNP BIOS Spec, Option ROMs should upon exit, return
+        * some boot device status in AX (see PNP BIOS Spec Section 3.3
+        */
+       DEBUG_PRINTF_CS_IP("Option ROM Exit Status: %04x\n", M.x86.R_AX);
+#if defined(CONFIG_X86EMU_DEBUG) && CONFIG_X86EMU_DEBUG
+       DEBUG_PRINTF("Exit Status Decode:\n");
+       if (M.x86.R_AX & 0x100) {       // bit 8
+               DEBUG_PRINTF
+                   ("  IPL Device supporting INT 13h Block Device Format:\n");
+               switch (((M.x86.R_AX >> 4) & 0x3)) {    // bits 5:4
+               case 0:
+                       DEBUG_PRINTF("    No IPL Device attached\n");
+                       break;
+               case 1:
+                       DEBUG_PRINTF("    IPL Device status unknown\n");
+                       break;
+               case 2:
+                       DEBUG_PRINTF("    IPL Device attached\n");
+                       break;
+               case 3:
+                       DEBUG_PRINTF("    IPL Device status RESERVED!!\n");
+                       break;
+               }
+       }
+       if (M.x86.R_AX & 0x80) {        // bit 7
+               DEBUG_PRINTF
+                   ("  Output Device supporting INT 10h Character Output:\n");
+               switch (((M.x86.R_AX >> 4) & 0x3)) {    // bits 5:4
+               case 0:
+                       DEBUG_PRINTF("    No Display Device attached\n");
+                       break;
+               case 1:
+                       DEBUG_PRINTF("    Display Device status unknown\n");
+                       break;
+               case 2:
+                       DEBUG_PRINTF("    Display Device attached\n");
+                       break;
+               case 3:
+                       DEBUG_PRINTF("    Display Device status RESERVED!!\n");
+                       break;
+               }
+       }
+       if (M.x86.R_AX & 0x40) {        // bit 6
+               DEBUG_PRINTF
+                   ("  Input Device supporting INT 9h Character Input:\n");
+               switch (((M.x86.R_AX >> 4) & 0x3)) {    // bits 5:4
+               case 0:
+                       DEBUG_PRINTF("    No Input Device attached\n");
+                       break;
+               case 1:
+                       DEBUG_PRINTF("    Input Device status unknown\n");
+                       break;
+               case 2:
+                       DEBUG_PRINTF("    Input Device attached\n");
+                       break;
+               case 3:
+                       DEBUG_PRINTF("    Input Device status RESERVED!!\n");
+                       break;
+               }
+       }
+#endif
+       /* Check whether the stack is "clean" i.e. containing the HLT
+        * instruction we pushed before executing and pointing to the original
+        * stack address... indicating that the initialization probably was
+        * successful
+        */
+       if ((pop_word() == 0xf4f4) && (M.x86.R_SS == STACK_SEGMENT)
+           && (M.x86.R_SP == STACK_START_OFFSET)) {
+               DEBUG_PRINTF("Stack is clean, initialization successfull!\n");
+       } else {
+               printf("Stack unclean, initialization probably NOT COMPLETE!\n");
+               DEBUG_PRINTF("SS:SP = %04x:%04x, expected: %04x:%04x\n",
+                            M.x86.R_SS, M.x86.R_SP, STACK_SEGMENT,
+                            STACK_START_OFFSET);
+       }
+
+       // TODO: according to the BIOS Boot Spec initializations may be ended using INT18h and setting
+       // the status.
+       // We need to implement INT18 accordingly, pseudo code is in specsbbs101.pdf page 30
+       // (also for Int19)
+       return 0;
+}
diff --git a/src/devices/oprom/yabel/biosemu.h b/src/devices/oprom/yabel/biosemu.h
new file mode 100644 (file)
index 0000000..09ace72
--- /dev/null
@@ -0,0 +1,52 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * Copyright (c) 2009 Pattrick Hueper <phueper@hueper.net>
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#ifndef _BIOSEMU_BIOSEMU_H_
+#define _BIOSEMU_BIOSEMU_H_
+
+#define MIN_REQUIRED_VMEM_SIZE 0x100000        // 1MB
+
+//define default segments for different components
+#define STACK_SEGMENT 0x1000   //1000:xxxx
+#define STACK_START_OFFSET 0xfffe
+
+#define DATA_SEGMENT 0x2000
+#define VBE_SEGMENT 0x3000
+
+#define PMM_CONV_SEGMENT 0x4000        // 4000:xxxx is PMM conventional memory area, extended memory area
+                               // will be anything beyound MIN_REQUIRED_MEMORY_SIZE
+#define PNP_DATA_SEGMENT 0x5000
+
+#define OPTION_ROM_CODE_SEGMENT 0xc000
+
+#define BIOS_DATA_SEGMENT 0xF000
+// both EBDA values are _initial_ values, they may (and will be) changed at runtime by option ROMs!!
+#define INITIAL_EBDA_SEGMENT 0xF600    // segment of the Extended BIOS Data Area
+#define INITIAL_EBDA_SIZE 0x400        // size of the EBDA (at least 1KB!! since size is stored in KB!)
+
+#define PMM_INT_NUM 0xFC       // we misuse INT FC for PMM functionality, at the PMM Entry Point
+                               // Address, there will only be a call to this INT and a RETF
+#define PNP_INT_NUM 0xFD
+
+/* array of funtion pointers to override generic interrupt handlers 
+ * a YABEL caller can add functions to this array before calling YABEL
+ * if a interrupt occurs, YABEL checks wether a function is set in
+ * this array and only runs the generic interrupt handler code, if
+ * the function pointer is NULL */
+typedef int (* yabel_handleIntFunc)(void);
+extern yabel_handleIntFunc yabel_intFuncArray[256];
+
+struct device;
+
+u32 biosemu(u8 *biosmem, u32 biosmem_size, struct device *dev, unsigned long rom_addr);
+#endif
diff --git a/src/devices/oprom/yabel/compat/Makefile.inc b/src/devices/oprom/yabel/compat/Makefile.inc
new file mode 100644 (file)
index 0000000..00080f5
--- /dev/null
@@ -0,0 +1 @@
+obj-y += functions.o
diff --git a/src/devices/oprom/yabel/compat/functions.c b/src/devices/oprom/yabel/compat/functions.c
new file mode 100644 (file)
index 0000000..6367fb0
--- /dev/null
@@ -0,0 +1,69 @@
+/****************************************************************************
+ * YABEL BIOS Emulator
+ *
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Copyright (c) 2008 Pattrick Hueper <phueper@hueper.net>
+ ****************************************************************************/
+
+/* this file contains functions provided by SLOF, that the current biosemu implementation needs
+ * they should go away  inthe future...
+ */
+
+#include <types.h>
+#include <string.h>
+#include <device/device.h>
+#include "../debug.h"
+#include "../biosemu.h"
+#include "../compat/time.h"
+
+#define VMEM_SIZE (1024 * 1024) /* 1 MB */
+
+#if !defined(CONFIG_YABEL_DIRECTHW) || (!CONFIG_YABEL_DIRECTHW)
+#ifdef CONFIG_YABEL_VIRTMEM_LOCATION
+u8* vmem = (u8 *) CONFIG_YABEL_VIRTMEM_LOCATION;
+#else
+u8* vmem = (u8 *) (16*1024*1024); /* default to 16MB */
+#endif
+#else
+u8* vmem = NULL;
+#endif
+
+#if CONFIG_BOOTSPLASH
+void vbe_set_graphics(void);
+#endif
+
+void run_bios(struct device * dev, unsigned long addr)
+{
+
+       biosemu(vmem, VMEM_SIZE, dev, addr);
+
+#if CONFIG_BOOTSPLASH
+       vbe_set_graphics();
+#endif
+
+       if (vmem != NULL) {
+               printf("Copying legacy memory from %p to the lower 1MB\n", vmem);
+               memcpy((void *)0x00000, vmem + 0x00000, 0x400);         // IVT
+               memcpy((void *)0x00400, vmem + 0x00400, 0x100);         // BDA
+               memcpy((void *)0xc0000, vmem + 0xc0000, 0x10000);       // VGA OPROM
+       }
+}
+
+unsigned long tb_freq = 0;
+
+u64 get_time(void)
+{
+    u64 act;
+    u32 eax, edx;
+
+    __asm__ __volatile__(
+       "rdtsc"
+        : "=a"(eax), "=d"(edx)
+        : /* no inputs, no clobber */);
+    act = ((u64) edx << 32) | eax; 
+    return act;
+}
diff --git a/src/devices/oprom/yabel/compat/of.h b/src/devices/oprom/yabel/compat/of.h
new file mode 100644 (file)
index 0000000..9071399
--- /dev/null
@@ -0,0 +1,55 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+
+#ifndef OF_H
+#define OF_H
+#define p32 int
+#define p32cast (int) (unsigned long) (void*)
+
+#define phandle_t p32
+#define ihandle_t p32
+
+typedef struct 
+{
+    unsigned int serv;
+    int nargs;
+    int nrets;
+    unsigned int args[16];
+} of_arg_t;
+
+
+phandle_t of_finddevice (const char *);
+phandle_t of_peer (phandle_t);
+phandle_t of_child (phandle_t);
+phandle_t of_parent (phandle_t);
+int of_getprop (phandle_t, const char *, void *, int);
+void * of_call_method_3 (const char *, ihandle_t, int);
+
+
+ihandle_t of_open (const char *);
+void of_close(ihandle_t);
+int of_read (ihandle_t , void*, int);
+int of_write (ihandle_t, void*, int);
+int of_seek (ihandle_t, int, int);
+
+void * of_claim(void *, unsigned int , unsigned int );
+void of_release(void *, unsigned int );
+
+int of_yield(void);
+void * of_set_callback(void *);
+
+int vpd_read(unsigned int , unsigned int , char *);
+int vpd_write(unsigned int , unsigned int , char *);
+int write_mm_log(char *, unsigned int , unsigned short );
+
+#endif
diff --git a/src/devices/oprom/yabel/compat/rtas.h b/src/devices/oprom/yabel/compat/rtas.h
new file mode 100644 (file)
index 0000000..25cabf4
--- /dev/null
@@ -0,0 +1,45 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+
+#ifndef RTAS_H
+#define RTAS_H
+
+#include "of.h"
+
+typedef struct dtime {
+        unsigned int year;
+        unsigned int month;
+        unsigned int day;
+        unsigned int hour;
+        unsigned int minute;
+        unsigned int second;
+        unsigned int nano;
+} dtime;
+
+typedef void (*thread_t) (int);
+
+int rtas_token(const char *);
+int rtas_call(int, int, int, int *, ...);
+void rtas_init(void);
+int rtas_pci_config_read (long long, int, int, int, int);
+int rtas_pci_config_write (long long, int, int, int, int, int);
+int rtas_set_time_of_day(dtime *);
+int rtas_get_time_of_day(dtime *);
+int rtas_ibm_update_flash_64(long long, long long);
+int rtas_ibm_update_flash_64_and_reboot(long long, long long);
+int rtas_system_reboot(void);
+int rtas_start_cpu (int, thread_t, int);
+int rtas_stop_self (void);
+int rtas_ibm_manage_flash(int);
+
+#endif
diff --git a/src/devices/oprom/yabel/compat/time.h b/src/devices/oprom/yabel/compat/time.h
new file mode 100644 (file)
index 0000000..6f7099b
--- /dev/null
@@ -0,0 +1,18 @@
+/****************************************************************************
+ * YABEL BIOS Emulator
+ *
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Copyright (c) 2008 Pattrick Hueper <phueper@hueper.net>
+ ****************************************************************************/
+
+#ifndef _BIOSEMU_COMPAT_TIME_H
+#define _BIOSEMU_COMPAT_TIME_H
+
+/* TODO: check how this works in x86 */
+extern unsigned long tb_freq;
+u64 get_time(void);
+#endif 
diff --git a/src/devices/oprom/yabel/debug.c b/src/devices/oprom/yabel/debug.c
new file mode 100644 (file)
index 0000000..7cda8af
--- /dev/null
@@ -0,0 +1,54 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * Copyright (c) 2008, 2009 Pattrick Hueper <phueper@hueper.net>
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#include "debug.h"
+
+u32 debug_flags = 0;
+
+void
+dump(u8 * addr, u32 len)
+{
+       printf("\n%s(%p, %x):\n", __func__, addr, len);
+       while (len) {
+               unsigned int tmpCnt = len;
+               unsigned char x;
+               if (tmpCnt > 8)
+                       tmpCnt = 8;
+               printf("\n%p: ", addr);
+               // print hex
+               while (tmpCnt--) {
+                       set_ci();
+                       x = *addr++;
+                       clr_ci();
+                       printf("%02x ", x);
+               }
+               tmpCnt = len;
+               if (tmpCnt > 8)
+                       tmpCnt = 8;
+               len -= tmpCnt;
+               //reset addr ptr to print ascii
+               addr = addr - tmpCnt;
+               // print ascii
+               while (tmpCnt--) {
+                       set_ci();
+                       x = *addr++;
+                       clr_ci();
+                       if ((x < 32) || (x >= 127)) {
+                               //non-printable char
+                               x = '.';
+                       }
+                       printf("%c", x);
+               }
+       }
+       printf("\n");
+}
diff --git a/src/devices/oprom/yabel/debug.h b/src/devices/oprom/yabel/debug.h
new file mode 100644 (file)
index 0000000..d029308
--- /dev/null
@@ -0,0 +1,105 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * Copyright (c) 2009 Pattrick Hueper <phueper@hueper.net>
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+#ifndef _BIOSEMU_DEBUG_H_
+#define _BIOSEMU_DEBUG_H_
+
+#include <types.h>
+
+extern u32 debug_flags;
+// from x86emu...needed for debugging
+extern void x86emu_dump_xregs(void);
+
+/* printf is not available in coreboot... use printk */
+#include <console/console.h>
+#include "x86emu/x86emu.h"
+#define printf(x...) printk(BIOS_DEBUG, x)
+
+/* PH: empty versions of set/clr_ci
+ * TODO: remove! */
+static inline void clr_ci(void) {};
+static inline void set_ci(void) {};
+
+/* debug_flags is a binary switch that allows you to select the following items
+ * to debug. 1=on 0=off. After you decide what you want to debug create the
+ * binary value, convert to hex and set the option. These options can be
+ * selected in Kconfig.
+ *
+ * |-DEBUG_JMP - print info about JMP and RETF opcodes from x86emu
+ * ||-DEBUG_TRACE_X86EMU - print _all_ opcodes that are executed by x86emu (WARNING: this will produce a LOT of output)
+ * |||-Currently unused
+ * ||||-Currently unused
+ * |||||-Currently unused
+ * ||||||-DEBUG_PNP - Print Plug And Play access made by option rom 
+ * |||||||-DEBUG_DISK - Print Disk I/O related messages, currently unused
+ * ||||||||-DEBUG_PMM - Print messages related to POST Memory Manager (PMM)
+ * |||||||||-DEBUG_VBE - Print messages related to VESA BIOS Extension (VBE) functions
+ * ||||||||||-DEBUG_PRINT_INT10 - let INT10 (i.e. character output) calls print messages to Debug output
+ * |||||||||||-DEBUG_INTR - Print messages related to interrupt handling
+ * ||||||||||||-DEBUG_CHECK_VMEM_ACCESS - Print messages related to accesse to certain areas of the virtual Memory (e.g. BDA (BIOS Data Area) or Interrupt Vectors)
+ * |||||||||||||-DEBUG_MEM - Print memory access made by option rom (NOTE: this also includes accesses to fetch instructions)
+ * ||||||||||||||-DEBUG_IO - Print I/O access made by option rom 
+ * 11000111111111 - Max Binary Value, Debug All (WARNING: - This could run for hours)
+ */
+
+#define DEBUG_IO 0x1
+#define DEBUG_MEM 0x2
+// set this to print messages for certain virtual memory accesses (Interrupt Vectors, ...)
+#define DEBUG_CHECK_VMEM_ACCESS 0x4
+#define DEBUG_INTR 0x8
+#define DEBUG_PRINT_INT10 0x10 // set to have the INT10 routine print characters
+#define DEBUG_VBE 0x20
+#define DEBUG_PMM 0x40
+#define DEBUG_DISK 0x80
+#define DEBUG_PNP 0x100
+
+#define DEBUG_TRACE_X86EMU 0x1000
+// set to enable tracing of JMPs in x86emu
+#define DEBUG_JMP 0x2000
+
+#if defined(CONFIG_X86EMU_DEBUG) && CONFIG_X86EMU_DEBUG
+
+#define CHECK_DBG(_flag) if (debug_flags & _flag)
+
+#define DEBUG_PRINTF(_x...) printf(_x);
+// prints the CS:IP before the printout, NOTE: actually its CS:IP of the _next_ instruction
+// to be executed, since the x86emu advances CS:IP _before_ actually executing an instruction
+#define DEBUG_PRINTF_CS_IP(_x...) DEBUG_PRINTF("%x:%x ", M.x86.R_CS, M.x86.R_IP); DEBUG_PRINTF(_x);
+
+#define DEBUG_PRINTF_IO(_x...) CHECK_DBG(DEBUG_IO) { DEBUG_PRINTF_CS_IP(_x) }
+#define DEBUG_PRINTF_MEM(_x...) CHECK_DBG(DEBUG_MEM) { DEBUG_PRINTF_CS_IP(_x) }
+#define DEBUG_PRINTF_INTR(_x...) CHECK_DBG(DEBUG_INTR) { DEBUG_PRINTF_CS_IP(_x) }
+#define DEBUG_PRINTF_VBE(_x...) CHECK_DBG(DEBUG_VBE) { DEBUG_PRINTF_CS_IP(_x) }
+#define DEBUG_PRINTF_PMM(_x...) CHECK_DBG(DEBUG_PMM) { DEBUG_PRINTF_CS_IP(_x) }
+#define DEBUG_PRINTF_DISK(_x...) CHECK_DBG(DEBUG_DISK) { DEBUG_PRINTF_CS_IP(_x) }
+#define DEBUG_PRINTF_PNP(_x...) CHECK_DBG(DEBUG_PNP) { DEBUG_PRINTF_CS_IP(_x) }
+
+#else
+
+#define CHECK_DBG(_flag) if (0)
+
+#define DEBUG_PRINTF(_x...)
+#define DEBUG_PRINTF_CS_IP(_x...)
+
+#define DEBUG_PRINTF_IO(_x...)
+#define DEBUG_PRINTF_MEM(_x...)
+#define DEBUG_PRINTF_INTR(_x...)
+#define DEBUG_PRINTF_VBE(_x...)
+#define DEBUG_PRINTF_PMM(_x...)
+#define DEBUG_PRINTF_DISK(_x...)
+#define DEBUG_PRINTF_PNP(_x...)
+
+#endif                         //DEBUG
+
+void dump(u8 * addr, u32 len);
+
+#endif
diff --git a/src/devices/oprom/yabel/device.c b/src/devices/oprom/yabel/device.c
new file mode 100644 (file)
index 0000000..7e71a45
--- /dev/null
@@ -0,0 +1,453 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * Copyright (c) 2008, 2009 Pattrick Hueper <phueper@hueper.net>
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+
+#include "device.h"
+#include "compat/rtas.h"
+#include <string.h>
+#include "debug.h"
+
+#include <device/device.h>
+#include <device/pci.h>
+#include <device/pci_ops.h>
+#include <device/resource.h>
+
+/* the device we are working with... */
+biosemu_device_t bios_device;
+//max. 6 BARs and 1 Exp.ROM plus CfgSpace and 3 legacy ranges
+translate_address_t translate_address_array[11];
+u8 taa_last_entry;
+
+typedef struct {
+       u8 info;
+       u8 bus;
+       u8 devfn;
+       u8 cfg_space_offset;
+       u64 address;
+       u64 size;
+} __attribute__ ((__packed__)) assigned_address_t;
+
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+/* coreboot version */
+
+static void
+biosemu_dev_get_addr_info(void)
+{
+       int taa_index = 0;
+       int i = 0;
+       struct resource *r;
+       u8 bus = bios_device.dev->bus->link;
+       u16 devfn = bios_device.dev->path.pci.devfn;
+
+       bios_device.bus =  bus;
+       bios_device.devfn = devfn;
+
+       DEBUG_PRINTF("bus: %x, devfn: %x\n", bus, devfn);
+       for (i = 0; i < bios_device.dev->resources; i++) {
+               r = &bios_device.dev->resource[i];
+               translate_address_array[taa_index].info = r->flags;
+               translate_address_array[taa_index].bus = bus;
+               translate_address_array[taa_index].devfn = devfn;
+               translate_address_array[taa_index].cfg_space_offset =
+                   r->index;
+               translate_address_array[taa_index].address = r->base;
+               translate_address_array[taa_index].size = r->size;
+               /* dont translate addresses... all addresses are 1:1 */
+               translate_address_array[taa_index].address_offset = 0;
+               taa_index++;
+       }
+       /* Expansion ROM */
+       translate_address_array[taa_index].info = IORESOURCE_MEM | IORESOURCE_READONLY;
+       translate_address_array[taa_index].bus = bus;
+       translate_address_array[taa_index].devfn = devfn;
+       translate_address_array[taa_index].cfg_space_offset = 0x30;
+       translate_address_array[taa_index].address = bios_device.img_addr;
+       translate_address_array[taa_index].size = 0; /* TODO: do we need the size? */
+       /* dont translate addresses... all addresses are 1:1 */
+       translate_address_array[taa_index].address_offset = 0;
+       taa_index++;
+       /* legacy ranges if its a VGA card... */
+       if ((bios_device.dev->class & 0xFF0000) == 0x030000) {
+               DEBUG_PRINTF("%s: VGA device found, adding legacy resources... \n", __func__);
+               /* I/O 0x3B0-0x3BB */
+               translate_address_array[taa_index].info = IORESOURCE_FIXED | IORESOURCE_IO;
+               translate_address_array[taa_index].bus = bus;
+               translate_address_array[taa_index].devfn = devfn;
+               translate_address_array[taa_index].cfg_space_offset = 0;
+               translate_address_array[taa_index].address = 0x3b0;
+               translate_address_array[taa_index].size = 0xc;
+               /* dont translate addresses... all addresses are 1:1 */
+               translate_address_array[taa_index].address_offset = 0;
+               taa_index++;
+               /* I/O 0x3C0-0x3DF */
+               translate_address_array[taa_index].info = IORESOURCE_FIXED | IORESOURCE_IO;
+               translate_address_array[taa_index].bus = bus;
+               translate_address_array[taa_index].devfn = devfn;
+               translate_address_array[taa_index].cfg_space_offset = 0;
+               translate_address_array[taa_index].address = 0x3c0;
+               translate_address_array[taa_index].size = 0x20;
+               /* dont translate addresses... all addresses are 1:1 */
+               translate_address_array[taa_index].address_offset = 0;
+               taa_index++;
+               /* Mem 0xA0000-0xBFFFF */
+               translate_address_array[taa_index].info = IORESOURCE_FIXED | IORESOURCE_MEM;
+               translate_address_array[taa_index].bus = bus;
+               translate_address_array[taa_index].devfn = devfn;
+               translate_address_array[taa_index].cfg_space_offset = 0;
+               translate_address_array[taa_index].address = 0xa0000;
+               translate_address_array[taa_index].size = 0x20000;
+               /* dont translate addresses... all addresses are 1:1 */
+               translate_address_array[taa_index].address_offset = 0;
+               taa_index++;
+       }
+       // store last entry index of translate_address_array
+       taa_last_entry = taa_index - 1;
+#if defined(CONFIG_X86EMU_DEBUG) && CONFIG_X86EMU_DEBUG
+       //dump translate_address_array
+       printf("translate_address_array: \n");
+       translate_address_t ta;
+       for (i = 0; i <= taa_last_entry; i++) {
+               ta = translate_address_array[i];
+               printf
+                   ("%d: info: %08lx bus: %02x devfn: %02x cfg_space_offset: %02x\n\taddr: %016llx\n\toffs: %016llx\n\tsize: %016llx\n",
+                    i, ta.info, ta.bus, ta.devfn, ta.cfg_space_offset,
+                    ta.address, ta.address_offset, ta.size);
+       }
+#endif
+}
+#else
+// use translate_address_dev and get_puid from net-snk's net_support.c
+void translate_address_dev(u64 *, phandle_t);
+u64 get_puid(phandle_t node);
+
+
+// scan all adresses assigned to the device ("assigned-addresses" and "reg")
+// store in translate_address_array for faster translation using dev_translate_address
+void
+biosemu_dev_get_addr_info(void)
+{
+       // get bus/dev/fn from assigned-addresses
+       int32_t len;
+       //max. 6 BARs and 1 Exp.ROM plus CfgSpace and 3 legacy ranges
+       assigned_address_t buf[11];
+       len =
+           of_getprop(bios_device.phandle, "assigned-addresses", buf,
+                      sizeof(buf));
+       bios_device.bus = buf[0].bus;
+       bios_device.devfn = buf[0].devfn;
+       DEBUG_PRINTF("bus: %x, devfn: %x\n", bios_device.bus,
+                    bios_device.devfn);
+       //store address translations for all assigned-addresses and regs in
+       //translate_address_array for faster translation later on...
+       int i = 0;
+       // index to insert data into translate_address_array
+       int taa_index = 0;
+       u64 address_offset;
+       for (i = 0; i < (len / sizeof(assigned_address_t)); i++, taa_index++) {
+               //copy all info stored in assigned-addresses
+               translate_address_array[taa_index].info = buf[i].info;
+               translate_address_array[taa_index].bus = buf[i].bus;
+               translate_address_array[taa_index].devfn = buf[i].devfn;
+               translate_address_array[taa_index].cfg_space_offset =
+                   buf[i].cfg_space_offset;
+               translate_address_array[taa_index].address = buf[i].address;
+               translate_address_array[taa_index].size = buf[i].size;
+               // translate first address and store it as address_offset
+               address_offset = buf[i].address;
+               translate_address_dev(&address_offset, bios_device.phandle);
+               translate_address_array[taa_index].address_offset =
+                   address_offset - buf[i].address;
+       }
+       //get "reg" property
+       len = of_getprop(bios_device.phandle, "reg", buf, sizeof(buf));
+       for (i = 0; i < (len / sizeof(assigned_address_t)); i++) {
+               if ((buf[i].size == 0) || (buf[i].cfg_space_offset != 0)) {
+                       // we dont care for ranges with size 0 and
+                       // BARs and Expansion ROM must be in assigned-addresses... so in reg
+                       // we only look for those without config space offset set...
+                       // i.e. the legacy ranges
+                       continue;
+               }
+               //copy all info stored in assigned-addresses
+               translate_address_array[taa_index].info = buf[i].info;
+               translate_address_array[taa_index].bus = buf[i].bus;
+               translate_address_array[taa_index].devfn = buf[i].devfn;
+               translate_address_array[taa_index].cfg_space_offset =
+                   buf[i].cfg_space_offset;
+               translate_address_array[taa_index].address = buf[i].address;
+               translate_address_array[taa_index].size = buf[i].size;
+               // translate first address and store it as address_offset
+               address_offset = buf[i].address;
+               translate_address_dev(&address_offset, bios_device.phandle);
+               translate_address_array[taa_index].address_offset =
+                   address_offset - buf[i].address;
+               taa_index++;
+       }
+       // store last entry index of translate_address_array
+       taa_last_entry = taa_index - 1;
+#if defined(CONFIG_X86EMU_DEBUG) && CONFIG_X86EMU_DEBUG
+       //dump translate_address_array
+       printf("translate_address_array: \n");
+       translate_address_t ta;
+       for (i = 0; i <= taa_last_entry; i++) {
+               ta = translate_address_array[i];
+               printf
+                   ("%d: %02x%02x%02x%02x\n\taddr: %016llx\n\toffs: %016llx\n\tsize: %016llx\n",
+                    i, ta.info, ta.bus, ta.devfn, ta.cfg_space_offset,
+                    ta.address, ta.address_offset, ta.size);
+       }
+#endif
+}
+#endif
+
+#ifndef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+// to simulate accesses to legacy VGA Memory (0xA0000-0xBFFFF)
+// we look for the first prefetchable memory BAR, if no prefetchable BAR found,
+// we use the first memory BAR
+// dev_translate_addr will translate accesses to the legacy VGA Memory into the found vmem BAR
+static void
+biosemu_dev_find_vmem_addr(void)
+{
+       int i = 0;
+       translate_address_t ta;
+       s8 tai_np = -1, tai_p = -1;     // translate_address_array index for non-prefetchable and prefetchable memory
+       //search backwards to find first entry
+       for (i = taa_last_entry; i >= 0; i--) {
+               ta = translate_address_array[i];
+               if ((ta.cfg_space_offset >= 0x10)
+                   && (ta.cfg_space_offset <= 0x24)) {
+                       //only BARs
+                       if ((ta.info & 0x03) >= 0x02) {
+                               //32/64bit memory
+                               tai_np = i;
+                               if ((ta.info & 0x40) != 0) {
+                                       // prefetchable
+                                       tai_p = i;
+                               }
+                       }
+               }
+       }
+       if (tai_p != -1) {
+               ta = translate_address_array[tai_p];
+               bios_device.vmem_addr = ta.address;
+               bios_device.vmem_size = ta.size;
+               DEBUG_PRINTF
+                   ("%s: Found prefetchable Virtual Legacy Memory BAR: %llx, size: %llx\n",
+                    __func__, bios_device.vmem_addr,
+                    bios_device.vmem_size);
+       } else if (tai_np != -1) {
+               ta = translate_address_array[tai_np];
+               bios_device.vmem_addr = ta.address;
+               bios_device.vmem_size = ta.size;
+               DEBUG_PRINTF
+                   ("%s: Found non-prefetchable Virtual Legacy Memory BAR: %llx, size: %llx",
+                    __func__, bios_device.vmem_addr,
+                    bios_device.vmem_size);
+       }
+       // disable vmem
+       //bios_device.vmem_size = 0;
+}
+
+void
+biosemu_dev_get_puid(void)
+{
+       // get puid
+       bios_device.puid = get_puid(bios_device.phandle);
+       DEBUG_PRINTF("puid: 0x%llx\n", bios_device.puid);
+}
+#endif
+
+static void
+biosemu_dev_get_device_vendor_id(void)
+{
+
+       u32 pci_config_0;
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+       pci_config_0 = pci_read_config32(bios_device.dev, 0x0);
+#else
+       pci_config_0 =
+           rtas_pci_config_read(bios_device.puid, 4, bios_device.bus,
+                                bios_device.devfn, 0x0);
+#endif
+       bios_device.pci_device_id =
+           (u16) ((pci_config_0 & 0xFFFF0000) >> 16);
+       bios_device.pci_vendor_id = (u16) (pci_config_0 & 0x0000FFFF);
+       DEBUG_PRINTF("PCI Device ID: %04x, PCI Vendor ID: %x\n",
+                    bios_device.pci_device_id, bios_device.pci_vendor_id);
+}
+
+/* Check whether the device has a valid Expansion ROM and search the PCI Data
+ * Structure and any Expansion ROM Header (using dev_scan_exp_header()) for
+ * needed information.  If the rom_addr parameter is != 0, it is the address of
+ * the Expansion ROM image and will be used, if it is == 0, the Expansion ROM
+ * BAR address will be used.
+ */
+u8
+biosemu_dev_check_exprom(unsigned long rom_base_addr)
+{
+       int i = 0;
+       translate_address_t ta;
+       u16 pci_ds_offset;
+       pci_data_struct_t pci_ds;
+       if (rom_base_addr == 0) {
+               // check for ExpROM Address (Offset 30) in taa
+               for (i = 0; i <= taa_last_entry; i++) {
+                       ta = translate_address_array[i];
+                       if (ta.cfg_space_offset == 0x30) {
+                               //translated address
+                               rom_base_addr = ta.address + ta.address_offset;
+                               break;
+                       }
+               }
+       }
+       /* In the ROM there could be multiple Expansion ROM Images... start
+        * searching them for an x86 image.
+        */
+       do {
+               if (rom_base_addr == 0) {
+                       printf("Error: no Expansion ROM address found!\n");
+                       return -1;
+               }
+               set_ci();
+               u16 rom_signature = in16le((void *) rom_base_addr);
+               clr_ci();
+               if (rom_signature != 0xaa55) {
+                       printf
+                           ("Error: invalid Expansion ROM signature: %02x!\n",
+                            *((u16 *) rom_base_addr));
+                       return -1;
+               }
+               set_ci();
+               // at offset 0x18 is the (16bit little-endian) pointer to the PCI Data Structure
+               pci_ds_offset = in16le((void *) (rom_base_addr + 0x18));
+               //copy the PCI Data Structure
+               memcpy(&pci_ds, (void *) (rom_base_addr + pci_ds_offset),
+                      sizeof(pci_ds));
+               clr_ci();
+#if defined(CONFIG_X86EMU_DEBUG) && CONFIG_X86EMU_DEBUG
+               DEBUG_PRINTF("PCI Data Structure @%lx:\n",
+                            rom_base_addr + pci_ds_offset);
+               dump((void *) &pci_ds, sizeof(pci_ds));
+#endif
+               if (strncmp((const char *) pci_ds.signature, "PCIR", 4) != 0) {
+                       printf("Invalid PCI Data Structure found!\n");
+                       break;
+               }
+               //little-endian conversion
+               pci_ds.vendor_id = in16le(&pci_ds.vendor_id);
+               pci_ds.device_id = in16le(&pci_ds.device_id);
+               pci_ds.img_length = in16le(&pci_ds.img_length);
+               pci_ds.pci_ds_length = in16le(&pci_ds.pci_ds_length);
+               if (pci_ds.vendor_id != bios_device.pci_vendor_id) {
+                       printf
+                           ("Image has invalid Vendor ID: %04x, expected: %04x\n",
+                            pci_ds.vendor_id, bios_device.pci_vendor_id);
+                       break;
+               }
+               if (pci_ds.device_id != bios_device.pci_device_id) {
+                       printf
+                           ("Image has invalid Device ID: %04x, expected: %04x\n",
+                            pci_ds.device_id, bios_device.pci_device_id);
+                       break;
+               }
+               DEBUG_PRINTF("Image Length: %d\n", pci_ds.img_length * 512);
+               DEBUG_PRINTF("Image Code Type: %d\n", pci_ds.code_type);
+               if (pci_ds.code_type == 0) {
+                       //x86 image
+                       //store image address and image length in bios_device struct
+                       bios_device.img_addr = rom_base_addr;
+                       bios_device.img_size = pci_ds.img_length * 512;
+                       // we found the image, exit the loop
+                       break;
+               } else {
+                       // no x86 image, check next image (if any)
+                       rom_base_addr += pci_ds.img_length * 512;
+               }
+               if ((pci_ds.indicator & 0x80) == 0x80) {
+                       //last image found, exit the loop
+                       DEBUG_PRINTF("Last PCI Expansion ROM Image found.\n");
+                       break;
+               }
+       }
+       while (bios_device.img_addr == 0);
+       // in case we did not find a valid x86 Expansion ROM Image
+       if (bios_device.img_addr == 0) {
+               printf("Error: no valid x86 Expansion ROM Image found!\n");
+               return -1;
+       }
+       return 0;
+}
+
+u8
+biosemu_dev_init(struct device * device)
+{
+       u8 rval = 0;
+       //init bios_device struct
+       DEBUG_PRINTF("%s\n", __func__);
+       memset(&bios_device, 0, sizeof(bios_device));
+
+#ifndef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+       bios_device.ihandle = of_open(device_name);
+       if (bios_device.ihandle == 0) {
+               DEBUG_PRINTF("%s is no valid device!\n", device_name);
+               return -1;
+       }
+       bios_device.phandle = of_finddevice(device_name);
+#else
+       bios_device.dev = device;
+#endif
+       biosemu_dev_get_addr_info();
+#ifndef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+       biosemu_dev_find_vmem_addr();
+       biosemu_dev_get_puid();
+#endif
+       biosemu_dev_get_device_vendor_id();
+       return rval;
+}
+
+// translate address function using translate_address_array assembled
+// by dev_get_addr_info... MUCH faster than calling translate_address_dev
+// and accessing client interface for every translation...
+// returns: 0 if addr not found in translate_address_array, 1 if found.
+u8
+biosemu_dev_translate_address(unsigned long * addr)
+{
+       int i = 0;
+       translate_address_t ta;
+#ifndef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+       /* we dont need this hack for coreboot... we can access legacy areas */
+       //check if it is an access to legacy VGA Mem... if it is, map the address
+       //to the vmem BAR and then translate it...
+       // (translation info provided by Ben Herrenschmidt)
+       // NOTE: the translation seems to only work for NVIDIA cards... but it is needed
+       // to make some NVIDIA cards work at all...
+       if ((bios_device.vmem_size > 0)
+           && ((*addr >= 0xA0000) && (*addr < 0xB8000))) {
+               *addr = (*addr - 0xA0000) * 4 + 2 + bios_device.vmem_addr;
+       }
+       if ((bios_device.vmem_size > 0)
+           && ((*addr >= 0xB8000) && (*addr < 0xC0000))) {
+               u8 shift = *addr & 1;
+               *addr &= 0xfffffffe;
+               *addr = (*addr - 0xB8000) * 4 + shift + bios_device.vmem_addr;
+       }
+#endif
+       for (i = 0; i <= taa_last_entry; i++) {
+               ta = translate_address_array[i];
+               if ((*addr >= ta.address) && (*addr <= (ta.address + ta.size))) {
+                       *addr += ta.address_offset;
+                       return 1;
+               }
+       }
+       return 0;
+}
diff --git a/src/devices/oprom/yabel/device.h b/src/devices/oprom/yabel/device.h
new file mode 100644 (file)
index 0000000..dbbd28d
--- /dev/null
@@ -0,0 +1,182 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * Copyright (c) 2008, 2009 Pattrick Hueper <phueper@hueper.net>
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#ifndef DEVICE_LIB_H
+#define DEVICE_LIB_H
+
+#include <types.h>
+#include <arch/byteorder.h>
+#include "compat/of.h"
+#include "debug.h"
+
+
+// a Expansion Header Struct as defined in Plug and Play BIOS Spec 1.0a Chapter 3.2
+typedef struct {
+       char signature[4];      // signature
+       u8 structure_revision;
+       u8 length;              // in 16 byte blocks
+       u16 next_header_offset; // offset to next Expansion Header as 16bit little-endian value, as offset from the start of the Expansion ROM
+       u8 reserved;
+       u8 checksum;    // the sum of all bytes of the Expansion Header must be 0
+       u32 device_id;  // PnP Device ID as 32bit little-endian value
+       u16 p_manufacturer_string;      //16bit little-endian offset from start of Expansion ROM
+       u16 p_product_string;   //16bit little-endian offset from start of Expansion ROM
+       u8 device_base_type;
+       u8 device_sub_type;
+       u8 device_if_type;
+       u8 device_indicators;
+       // the following vectors are all 16bit little-endian offsets from start of Expansion ROM
+       u16 bcv;                // Boot Connection Vector
+       u16 dv;         // Disconnect Vector
+       u16 bev;                // Bootstrap Entry Vector
+       u16 reserved_2;
+       u16 sriv;               // Static Resource Information Vector
+} __attribute__ ((__packed__)) exp_header_struct_t;
+
+// a PCI Data Struct as defined in PCI 2.3 Spec Chapter 6.3.1.2
+typedef struct {
+       u8 signature[4];        // signature, the String "PCIR"
+       u16 vendor_id;
+       u16 device_id;
+       u16 reserved;
+       u16 pci_ds_length;      // PCI Data Structure Length, 16bit little-endian value
+       u8 pci_ds_revision;
+       u8 class_code[3];
+       u16 img_length; // length of the Exp.ROM Image, 16bit little-endian value in 512 bytes
+       u16 img_revision;
+       u8 code_type;
+       u8 indicator;
+       u16 reserved_2;
+} __attribute__ ((__packed__)) pci_data_struct_t;
+
+typedef struct {
+       u8 bus;
+       u8 devfn;
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+       struct device* dev;
+#else
+       u64 puid;
+       phandle_t phandle;
+       ihandle_t ihandle;
+#endif
+       // store the address of the BAR that is used to simulate
+       // legacy VGA memory accesses
+       u64 vmem_addr;
+       u64 vmem_size;
+       // used to buffer I/O Accesses, that do not access the I/O Range of the device...
+       // 64k might be overkill, but we can buffer all I/O accesses...
+       u8 io_buffer[64 * 1024];
+       u16 pci_vendor_id;
+       u16 pci_device_id;
+       // translated address of the "PC-Compatible" Expansion ROM Image for this device
+       unsigned long img_addr;
+       u32 img_size;   // size of the Expansion ROM Image (read from the PCI Data Structure)
+} biosemu_device_t;
+
+typedef struct {
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+       unsigned long info;
+#else
+       u8 info;
+#endif
+       u8 bus;
+       u8 devfn;
+       u8 cfg_space_offset;
+       u64 address;
+       u64 address_offset;
+       u64 size;
+} __attribute__ ((__packed__)) translate_address_t;
+
+// array to store address translations for this
+// device. Needed for faster address translation, so
+// not every I/O or Memory Access needs to call translate_address_dev
+// and access the device tree
+// 6 BARs, 1 Exp. ROM, 1 Cfg.Space, and 3 Legacy
+// translations are supported... this should be enough for
+// most devices... for VGA it is enough anyways...
+extern translate_address_t translate_address_array[11];
+
+// index of last translate_address_array entry
+// set by get_dev_addr_info function
+extern u8 taa_last_entry;
+
+/* the device we are working with... */
+extern biosemu_device_t bios_device;
+
+u8 biosemu_dev_init(struct device * device);
+// NOTE: for dev_check_exprom to work, biosemu_dev_init MUST be called first!
+u8 biosemu_dev_check_exprom(unsigned long rom_base_addr);
+
+u8 biosemu_dev_translate_address(unsigned long * addr);
+
+/* endianness swap functions for 16 and 32 bit words
+ * copied from axon_pciconfig.c
+ */
+static inline void
+out32le(void *addr, u32 val)
+{
+#ifdef __i386
+       *((u32*) addr) = cpu_to_le32(val);
+#else
+       asm volatile ("stwbrx  %0, 0, %1"::"r" (val), "r"(addr));
+#endif
+}
+
+static inline u32
+in32le(void *addr)
+{
+       u32 val;
+#ifdef __i386
+       val = cpu_to_le32(*((u32 *) addr));
+#else
+       asm volatile ("lwbrx  %0, 0, %1":"=r" (val):"r"(addr));
+#endif
+       return val;
+}
+
+static inline void
+out16le(void *addr, u16 val)
+{
+#ifdef __i386
+       *((u16*) addr) = cpu_to_le16(val);
+#else
+       asm volatile ("sthbrx  %0, 0, %1"::"r" (val), "r"(addr));
+#endif
+}
+
+static inline u16
+in16le(void *addr)
+{
+       u16 val;
+#ifdef __i386
+       val = cpu_to_le16(*((u16*) addr));
+#else
+       asm volatile ("lhbrx %0, 0, %1":"=r" (val):"r"(addr));
+#endif
+       return val;
+}
+
+/* debug function, dumps HID1 and HID4 to detect whether caches are on/off */
+static inline void
+dumpHID(void)
+{
+       u64 hid;
+       //HID1 = 1009
+       __asm__ __volatile__("mfspr %0, 1009":"=r"(hid));
+       printf("HID1: %016llx\n", hid);
+       //HID4 = 1012
+       __asm__ __volatile__("mfspr %0, 1012":"=r"(hid));
+       printf("HID4: %016llx\n", hid);
+}
+
+#endif
diff --git a/src/devices/oprom/yabel/interrupt.c b/src/devices/oprom/yabel/interrupt.c
new file mode 100644 (file)
index 0000000..9a79600
--- /dev/null
@@ -0,0 +1,677 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * Copyright (c) 2008, 2009 Pattrick Hueper <phueper@hueper.net>
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#include <types.h>
+#include "compat/rtas.h"
+
+#include "biosemu.h"
+#include "mem.h"
+#include "device.h"
+#include "debug.h"
+#include "pmm.h"
+#include "interrupt.h"
+
+#include <x86emu/x86emu.h>
+#include "../x86emu/prim_ops.h"
+
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+#include <device/pci.h>
+#include <device/pci_ops.h>
+#endif
+
+
+//setup to run the code at the address, that the Interrupt Vector points to...
+static void
+setupInt(int intNum)
+{
+       DEBUG_PRINTF_INTR("%s(%x): executing interrupt handler @%08x\n",
+                         __func__, intNum, my_rdl(intNum * 4));
+       // push current R_FLG... will be popped by IRET
+       push_word((u16) M.x86.R_FLG);
+       CLEAR_FLAG(F_IF);
+       CLEAR_FLAG(F_TF);
+       // push current CS:IP to the stack, will be popped by IRET
+       push_word(M.x86.R_CS);
+       push_word(M.x86.R_IP);
+       // set CS:IP to the interrupt handler address... so the next executed instruction will
+       // be the interrupt handler
+       M.x86.R_CS = my_rdw(intNum * 4 + 2);
+       M.x86.R_IP = my_rdw(intNum * 4);
+}
+
+// handle int10 (VGA BIOS Interrupt)
+static void
+handleInt10(void)
+{
+       // the data for INT10 is stored in BDA (0000:0400h) offset 49h-66h
+       // function number in AH
+       //DEBUG_PRINTF_CS_IP("%s:\n", __func__);
+       //x86emu_dump_xregs();
+       //if ((M.x86.R_IP == 0x32c2) && (M.x86.R_SI == 0x1ce2)){
+       //X86EMU_trace_on();
+       //M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F;
+       //}
+       switch (M.x86.R_AH) {
+       case 0x00:
+               // set video mode
+               // BDA offset 49h is current video mode
+               my_wrb(0x449, M.x86.R_AL);
+               if (M.x86.R_AL > 7)
+                       M.x86.R_AL = 0x20;
+               else if (M.x86.R_AL == 6)
+                       M.x86.R_AL = 0x3f;
+               else
+                       M.x86.R_AL = 0x30;
+               break;
+       case 0x01:
+               // set cursor shape
+               // ignore
+               break;
+       case 0x02:
+               // set cursor position
+               // BH: pagenumber, DX: cursor_pos (DH:row, DL:col)
+               // BDA offset 50h-60h are 8 cursor position words for
+               // eight possible video pages
+               my_wrw(0x450 + (M.x86.R_BH * 2), M.x86.R_DX);
+               break;
+       case 0x03:
+               //get cursor position
+               // BH: pagenumber
+               // BDA offset 50h-60h are 8 cursor position words for
+               // eight possible video pages
+               M.x86.R_AX = 0;
+               M.x86.R_CH = 0; // start scan line ???
+               M.x86.R_CL = 0; // end scan line ???
+               M.x86.R_DX = my_rdw(0x450 + (M.x86.R_BH * 2));
+               break;
+       case 0x05:
+               // set active page
+               // BDA offset 62h is current page number
+               my_wrb(0x462, M.x86.R_AL);
+               break;
+       case 0x06:
+               //scroll up windows
+               break;
+       case 0x07:
+               //scroll down windows
+               break;
+       case 0x08:
+               //read character and attribute at position
+               M.x86.R_AH = 0x07;      // white-on-black
+               M.x86.R_AL = 0x20;      // a space...
+               break;
+       case 0x09:
+               // write character and attribute
+               //AL: char, BH: page number, BL: attribute, CX: number of times to write
+               //BDA offset 62h is current page number
+               CHECK_DBG(DEBUG_PRINT_INT10) {
+                       u32 i = 0;
+                       if (M.x86.R_BH == my_rdb(0x462)) {
+                               for (i = 0; i < M.x86.R_CX; i++)
+                                       printf("%c", M.x86.R_AL);
+                       }
+               }
+               break;
+       case 0x0a:
+               // write character
+               //AL: char, BH: page number, BL: attribute, CX: number of times to write
+               //BDA offset 62h is current page number
+               CHECK_DBG(DEBUG_PRINT_INT10) {
+                       u32 i = 0;
+                       if (M.x86.R_BH == my_rdb(0x462)) {
+                               for (i = 0; i < M.x86.R_CX; i++)
+                                       printf("%c", M.x86.R_AL);
+                       }
+               }
+               break;
+       case 0x0e:
+               // teletype output: write character and advance cursor...
+               //AL: char, BH: page number, BL: attribute
+               //BDA offset 62h is current page number
+               CHECK_DBG(DEBUG_PRINT_INT10) {
+                       // we ignore the pagenumber on this call...
+                       //if (M.x86.R_BH == my_rdb(0x462))
+                       {
+                               printf("%c", M.x86.R_AL);
+                               // for debugging, to read all lines
+                               //if (M.x86.R_AL == 0xd) // carriage return
+                               //      printf("\n");
+                       }
+               }
+               break;
+       case 0x0f:
+               // get video mode
+               // BDA offset 49h is current video mode
+               // BDA offset 62h is current page number
+               // BDA offset 4ah is columns on screen
+               M.x86.R_AH = 80;        //number of character columns... we hardcode it to 80
+               M.x86.R_AL = my_rdb(0x449);
+               M.x86.R_BH = my_rdb(0x462);
+               break;
+       default:
+               printf("%s(): unknown function (%x) for int10 handler.\n",
+                      __func__, M.x86.R_AH);
+               DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n",
+                                 M.x86.R_AX, M.x86.R_BX, M.x86.R_CX,
+                                 M.x86.R_DX);
+               HALT_SYS();
+               break;
+       }
+}
+
+// this table translates ASCII chars into their XT scan codes:
+static u8 keycode_table[256] = {
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 0 - 7
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 8 - 15
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 16 - 23
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 24 - 31
+       0x39, 0x02, 0x28, 0x04, 0x05, 0x06, 0x08, 0x28, // 32 - 39
+       0x0a, 0x0b, 0x09, 0x2b, 0x33, 0x0d, 0x34, 0x35, // 40 - 47
+       0x0b, 0x02, 0x03, 0x04, 0x05, 0x06, 0x07, 0x08, // 48 - 55
+       0x09, 0x0a, 0x27, 0x27, 0x33, 0x2b, 0x34, 0x35, // 56 - 63
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 64 - 71
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 72 - 79
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 80 - 87
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 88 - 95
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 96 - 103
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 104 - 111
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 112 - 119
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 120 - 127
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // ...
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+}
+
+;
+
+static void
+translate_keycode(u64 * keycode)
+{
+       u8 scan_code = 0;
+       u8 char_code = 0;
+       if (*keycode < 256) {
+               scan_code = keycode_table[*keycode];
+               char_code = (u8) * keycode & 0xff;
+       } else {
+               switch (*keycode) {
+               case 0x1b50:
+                       // F1
+                       scan_code = 0x3b;
+                       char_code = 0x0;
+                       break;
+               default:
+                       printf("%s(): unknown multibyte keycode: %llx\n",
+                              __func__, *keycode);
+                       break;
+               }
+       }
+       //assemble scan/char code in keycode
+       *keycode = (u64) ((((u16) scan_code) << 8) | char_code);
+}
+
+// handle int16 (Keyboard BIOS Interrupt)
+static void
+handleInt16(void)
+{
+       // keyboard buffer is in BIOS Memory Area:
+       // offset 0x1a (WORD) pointer to next char in keybuffer
+       // offset 0x1c (WORD) pointer to next insert slot in keybuffer
+       // offset 0x1e-0x3e: 16 WORD Ring Buffer
+       // since we currently always read the char from the FW buffer,
+       // we misuse the ring buffer, we use it as pointer to a u64 that stores
+       // multi-byte keys (e.g. special keys in VT100 terminal)
+       // and as long as a key is available (not 0) we dont read further keys
+       u64 *keycode = (u64 *) (M.mem_base + 0x41e);
+       s8 c;
+       // function number in AH
+       DEBUG_PRINTF_INTR("%s(): Keyboard Interrupt: function: %x.\n",
+                         __func__, M.x86.R_AH);
+       DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n", M.x86.R_AX,
+                         M.x86.R_BX, M.x86.R_CX, M.x86.R_DX);
+       switch (M.x86.R_AH) {
+       case 0x00:
+               // get keystroke
+               if (*keycode) {
+                       M.x86.R_AX = (u16) * keycode;
+                       // clear keycode
+                       *keycode = 0;
+               } else {
+                       M.x86.R_AH = 0x61;      // scancode for space key
+                       M.x86.R_AL = 0x20;      // a space
+               }
+               break;
+       case 0x01:
+               // check keystroke
+               // ZF set = no keystroke
+               // read first byte of key code
+               if (*keycode) {
+                       // already read, but not yet taken
+                       CLEAR_FLAG(F_ZF);
+                       M.x86.R_AX = (u16) * keycode;
+               } else {
+                       /* TODO: we need getchar... */
+                       c = -1; //getchar();
+                       if (c == -1) {
+                               // no key available
+                               SET_FLAG(F_ZF);
+                       } else {
+                               *keycode = c;
+
+                               // since after an ESC it may take a while to receive the next char,
+                               // we send something that is not shown on the screen, and then try to get
+                               // the next char
+                               // TODO: only after ESC?? what about other multibyte keys
+                               printf("tt%c%c", 0x08, 0x08);   // 0x08 == Backspace
+
+                               /* TODO: we need getchar... */
+                               while ((c = -1 /*getchar()*/) != -1) {
+                                       *keycode = (*keycode << 8) | c;
+                                       DEBUG_PRINTF(" key read: %0llx\n",
+                                                    *keycode);
+                               }
+                               translate_keycode(keycode);
+                               DEBUG_PRINTF(" translated key: %0llx\n",
+                                            *keycode);
+                               if (*keycode == 0) {
+                                       //not found
+                                       SET_FLAG(F_ZF);
+                               } else {
+                                       CLEAR_FLAG(F_ZF);
+                                       M.x86.R_AX = (u16) * keycode;
+                                       //X86EMU_trace_on();
+                                       //M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F;
+                               }
+                       }
+               }
+               break;
+       default:
+               printf("%s(): unknown function (%x) for int16 handler.\n",
+                      __func__, M.x86.R_AH);
+               DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n",
+                                 M.x86.R_AX, M.x86.R_BX, M.x86.R_CX,
+                                 M.x86.R_DX);
+               HALT_SYS();
+               break;
+       }
+}
+
+// handle int1a (PCI BIOS Interrupt)
+static void
+handleInt1a(void)
+{
+       // function number in AX
+       u8 bus, devfn, offs;
+       struct device* dev;
+       switch (M.x86.R_AX) {
+       case 0xb101:
+               // Installation check
+               CLEAR_FLAG(F_CF);       // clear CF
+               M.x86.R_EDX = 0x20494350;       // " ICP" endian swapped "PCI "
+               M.x86.R_AL = 0x1;       // Config Space Mechanism 1 supported
+               M.x86.R_BX = 0x0210;    // PCI Interface Level Version 2.10
+               M.x86.R_CL = 0xff;      // number of last PCI Bus in system TODO: check!
+               break;
+       case 0xb102:
+               // Find PCI Device
+               // device_id in CX, vendor_id in DX
+               // device index in SI (i.e. if multiple devices with same vendor/device id
+               // are connected). We currently only support device index 0
+               //
+               DEBUG_PRINTF_INTR("%s(): function: %x: PCI Find Device\n",
+                                 __func__, M.x86.R_AX);
+               /* FixME: support SI != 0 */
+#if defined(CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES) && CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES==1
+               dev = dev_find_device(M.x86.R_DX, M.x86.R_CX, 0);
+               if (dev != 0) {
+                       DEBUG_PRINTF_INTR
+                           ("%s(): function %x: PCI Find Device --> 0x%04x\n",
+                            __func__, M.x86.R_AX, M.x86.R_BX);
+
+                       M.x86.R_BH = dev->bus->secondary;
+                       M.x86.R_BL = dev->path.pci.devfn;
+                       M.x86.R_AH = 0x00; // return code: success
+                       CLEAR_FLAG(F_CF);
+#else
+               // only allow the device to find itself...
+               if ((M.x86.R_CX == bios_device.pci_device_id)
+                  && (M.x86.R_DX == bios_device.pci_vendor_id)
+                  // device index must be 0
+                  && (M.x86.R_SI == 0)) {
+                       CLEAR_FLAG(F_CF);
+                       M.x86.R_AH = 0x00;      // return code: success
+                       M.x86.R_BH = bios_device.bus;
+                       M.x86.R_BL = bios_device.devfn;
+#endif
+               } else {
+                       DEBUG_PRINTF_INTR
+                           ("%s(): function %x: invalid device/vendor/device index! (%04x/%04x/%02x expected: %04x/%04x/00) \n",
+                            __func__, M.x86.R_AX, M.x86.R_CX, M.x86.R_DX,
+                            M.x86.R_SI, bios_device.pci_device_id,
+                            bios_device.pci_vendor_id);
+
+                       SET_FLAG(F_CF);
+                       M.x86.R_AH = 0x86;      // return code: device not found
+               }
+               break;
+       case 0xb108:            //read configuration byte
+       case 0xb109:            //read configuration word
+       case 0xb10a:            //read configuration dword
+               bus = M.x86.R_BH;
+               devfn = M.x86.R_BL;
+               offs = M.x86.R_DI;
+               DEBUG_PRINTF_INTR("%s(): function: %x: PCI Config Read from device: bus: %02x, devfn: %02x, offset: %02x\n",
+                                 __func__, M.x86.R_AX, bus, devfn, offs);
+#if defined(CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES) && CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES==1
+               dev = dev_find_slot(bus, devfn);
+               DEBUG_PRINTF_INTR("%s(): function: %x: dev_find_slot() returned: %s\n",
+                                 __func__, M.x86.R_AX, dev_path(dev));
+               if (dev == 0) {
+                       // fail accesses to non-existent devices...
+#else
+               dev = bios_device.dev;
+               if ((bus != bios_device.bus)
+                    || (devfn != bios_device.devfn)) {
+                       // fail accesses to any device but ours...
+#endif
+                       printf
+                           ("%s(): Config read access invalid device! bus: %02x (%02x), devfn: %02x (%02x), offs: %02x\n",
+                            __func__, bus, bios_device.bus, devfn,
+                            bios_device.devfn, offs);
+                       SET_FLAG(F_CF);
+                       M.x86.R_AH = 0x87;      //return code: bad pci register
+                       HALT_SYS();
+                       return;
+               } else {
+                       switch (M.x86.R_AX) {
+                       case 0xb108:
+                               M.x86.R_CL =
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+                                       pci_read_config8(dev, offs);
+#else                                  
+                                   (u8) rtas_pci_config_read(bios_device.
+                                                                  puid, 1,
+                                                                  bus, devfn,
+                                                                  offs);
+#endif
+                               DEBUG_PRINTF_INTR
+                                   ("%s(): function %x: PCI Config Read @%02x --> 0x%02x\n",
+                                    __func__, M.x86.R_AX, offs,
+                                    M.x86.R_CL);
+                               break;
+                       case 0xb109:
+                               M.x86.R_CX =
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+                                       pci_read_config16(dev, offs);
+#else                                  
+                                   (u16) rtas_pci_config_read(bios_device.
+                                                                   puid, 2,
+                                                                   bus, devfn,
+                                                                   offs);
+#endif
+                               DEBUG_PRINTF_INTR
+                                   ("%s(): function %x: PCI Config Read @%02x --> 0x%04x\n",
+                                    __func__, M.x86.R_AX, offs,
+                                    M.x86.R_CX);
+                               break;
+                       case 0xb10a:
+                               M.x86.R_ECX =
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+                                       pci_read_config32(dev, offs);
+#else                                  
+                                   (u32) rtas_pci_config_read(bios_device.
+                                                                   puid, 4,
+                                                                   bus, devfn,
+                                                                   offs);
+#endif
+                               DEBUG_PRINTF_INTR
+                                   ("%s(): function %x: PCI Config Read @%02x --> 0x%08x\n",
+                                    __func__, M.x86.R_AX, offs,
+                                    M.x86.R_ECX);
+                               break;
+                       }
+                       CLEAR_FLAG(F_CF);
+                       M.x86.R_AH = 0x0;       // return code: success
+               }
+               break;
+       case 0xb10b:            //write configuration byte
+       case 0xb10c:            //write configuration word
+       case 0xb10d:            //write configuration dword
+               bus = M.x86.R_BH;
+               devfn = M.x86.R_BL;
+               offs = M.x86.R_DI;
+               if ((bus != bios_device.bus)
+                   || (devfn != bios_device.devfn)) {
+                       // fail accesses to any device but ours...
+                       printf
+                           ("%s(): Config read access invalid! bus: %x (%x), devfn: %x (%x), offs: %x\n",
+                            __func__, bus, bios_device.bus, devfn,
+                            bios_device.devfn, offs);
+                       SET_FLAG(F_CF);
+                       M.x86.R_AH = 0x87;      //return code: bad pci register
+                       HALT_SYS();
+                       return;
+               } else {
+                       switch (M.x86.R_AX) {
+                       case 0xb10b:
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+                                       pci_write_config8(bios_device.dev, offs, M.x86.R_CL);
+#else                                  
+                               rtas_pci_config_write(bios_device.puid, 1, bus,
+                                                     devfn, offs, M.x86.R_CL);
+#endif
+                               DEBUG_PRINTF_INTR
+                                   ("%s(): function %x: PCI Config Write @%02x <-- 0x%02x\n",
+                                    __func__, M.x86.R_AX, offs,
+                                    M.x86.R_CL);
+                               break;
+                       case 0xb10c:
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+                                       pci_write_config16(bios_device.dev, offs, M.x86.R_CX);
+#else                                  
+                               rtas_pci_config_write(bios_device.puid, 2, bus,
+                                                     devfn, offs, M.x86.R_CX);
+#endif
+                               DEBUG_PRINTF_INTR
+                                   ("%s(): function %x: PCI Config Write @%02x <-- 0x%04x\n",
+                                    __func__, M.x86.R_AX, offs,
+                                    M.x86.R_CX);
+                               break;
+                       case 0xb10d:
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+                                       pci_write_config32(bios_device.dev, offs, M.x86.R_ECX);
+#else                                  
+                               rtas_pci_config_write(bios_device.puid, 4, bus,
+                                                     devfn, offs, M.x86.R_ECX);
+#endif
+                               DEBUG_PRINTF_INTR
+                                   ("%s(): function %x: PCI Config Write @%02x <-- 0x%08x\n",
+                                    __func__, M.x86.R_AX, offs,
+                                    M.x86.R_ECX);
+                               break;
+                       }
+                       CLEAR_FLAG(F_CF);
+                       M.x86.R_AH = 0x0;       // return code: success
+               }
+               break;
+       default:
+               printf("%s(): unknown function (%x) for int1a handler.\n",
+                      __func__, M.x86.R_AX);
+               DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n",
+                                 M.x86.R_AX, M.x86.R_BX, M.x86.R_CX,
+                                 M.x86.R_DX);
+               HALT_SYS();
+               break;
+       }
+}
+
+// main Interrupt Handler routine, should be registered as x86emu interrupt handler
+void
+handleInterrupt(int intNum)
+{
+       u8 int_handled = 0;
+#ifndef DEBUG_PRINT_INT10
+       // this printf makes output by int 10 unreadable...
+       // so we only enable it, if int10 print is disabled
+       DEBUG_PRINTF_INTR("%s(%x)\n", __func__, intNum);
+#endif
+
+       /* check wether this interrupt has a function pointer set in yabel_intFuncArray and run that */
+       if (yabel_intFuncArray[intNum]) {
+               DEBUG_PRINTF_INTR("%s(%x) intHandler overridden, calling it...\n", __func__, intNum);
+               int_handled = (*yabel_intFuncArray[intNum])();
+       } else {
+               switch (intNum) {
+               case 0x10:              //BIOS video interrupt
+               case 0x42:              // INT 10h relocated by EGA/VGA BIOS
+               case 0x6d:              // INT 10h relocated by VGA BIOS
+                       // get interrupt vector from IDT (4 bytes per Interrupt starting at address 0
+                       if ((my_rdl(intNum * 4) == 0xF000F065) ||       //F000:F065 is default BIOS interrupt handler address
+                           (my_rdl(intNum * 4) == 0xF4F4F4F4)) //invalid
+                       {
+#if 0
+                               // ignore interrupt...
+                               DEBUG_PRINTF_INTR
+                                   ("%s(%x): invalid interrupt Vector (%08x) found, interrupt ignored...\n",
+                                    __func__, intNum, my_rdl(intNum * 4));
+                               DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n",
+                                                 M.x86.R_AX, M.x86.R_BX, M.x86.R_CX,
+                                                 M.x86.R_DX);
+                               //HALT_SYS();
+#endif
+                               handleInt10();
+                               int_handled = 1;
+                       }
+                       break;
+               case 0x16:
+                       // Keyboard BIOS Interrupt
+                       handleInt16();
+                       int_handled = 1;
+                       break;
+               case 0x1a:
+                       // PCI BIOS Interrupt
+                       handleInt1a();
+                       int_handled = 1;
+                       break;
+               case PMM_INT_NUM:
+                       /* the selfdefined PMM INT number, this is called by the code in PMM struct, it 
+                        * is handled by pmm_handleInt()
+                        */
+                       pmm_handleInt();
+                       int_handled = 1;
+                       break;
+               default:
+                       printf("Interrupt %#x (Vector: %x) not implemented\n", intNum,
+                              my_rdl(intNum * 4));
+                       DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n",
+                                         M.x86.R_AX, M.x86.R_BX, M.x86.R_CX,
+                                         M.x86.R_DX);
+                       int_handled = 1;
+                       HALT_SYS();
+                       break;
+               }
+       }
+       // if we did not handle the interrupt, jump to the interrupt vector...
+       if (!int_handled) {
+               setupInt(intNum);
+       }
+}
+
+// prepare and execute Interrupt 10 (VGA Interrupt)
+void
+runInt10(void)
+{
+       // Initialize stack and data segment
+       M.x86.R_SS = STACK_SEGMENT;
+       M.x86.R_DS = DATA_SEGMENT;
+       M.x86.R_SP = STACK_START_OFFSET;
+
+       // push a HLT instruction and a pointer to it onto the stack
+       // any return will pop the pointer and jump to the HLT, thus
+       // exiting (more or less) cleanly
+       push_word(0xf4f4);      //F4=HLT
+       //push_word(M.x86.R_SS);
+       //push_word(M.x86.R_SP + 2);
+
+       // setupInt will push the current CS and IP to the stack to return to it,
+       // but we want to halt, so set CS:IP to the HLT instruction we just pushed
+       // to the stack
+       M.x86.R_CS = M.x86.R_SS;
+       M.x86.R_IP = M.x86.R_SP;        // + 4;
+
+       CHECK_DBG(DEBUG_TRACE_X86EMU) {
+               X86EMU_trace_on();
+       }
+       CHECK_DBG(DEBUG_JMP) {
+               M.x86.debug |= DEBUG_TRACEJMP_REGS_F;
+               M.x86.debug |= DEBUG_TRACEJMP_REGS_F;
+               M.x86.debug |= DEBUG_TRACECALL_F;
+               M.x86.debug |= DEBUG_TRACECALL_REGS_F;
+       }
+       setupInt(0x10);
+       DEBUG_PRINTF_INTR("%s(): starting execution of INT10...\n",
+                         __func__);
+       X86EMU_exec();
+       DEBUG_PRINTF_INTR("%s(): execution finished\n", __func__);
+}
+
+// prepare and execute Interrupt 13 (Disk Interrupt)
+void
+runInt13(void)
+{
+       // Initialize stack and data segment
+       M.x86.R_SS = STACK_SEGMENT;
+       M.x86.R_DS = DATA_SEGMENT;
+       M.x86.R_SP = STACK_START_OFFSET;
+
+       // push a HLT instruction and a pointer to it onto the stack
+       // any return will pop the pointer and jump to the HLT, thus
+       // exiting (more or less) cleanly
+       push_word(0xf4f4);      //F4=HLT
+       //push_word(M.x86.R_SS);
+       //push_word(M.x86.R_SP + 2);
+
+       // setupInt will push the current CS and IP to the stack to return to it,
+       // but we want to halt, so set CS:IP to the HLT instruction we just pushed
+       // to the stack
+       M.x86.R_CS = M.x86.R_SS;
+       M.x86.R_IP = M.x86.R_SP;
+
+       CHECK_DBG(DEBUG_TRACE_X86EMU) {
+               X86EMU_trace_on();
+       }
+       CHECK_DBG(DEBUG_JMP) {
+               M.x86.debug |= DEBUG_TRACEJMP_REGS_F;
+               M.x86.debug |= DEBUG_TRACEJMP_REGS_F;
+               M.x86.debug |= DEBUG_TRACECALL_F;
+               M.x86.debug |= DEBUG_TRACECALL_REGS_F;
+       }
+
+       setupInt(0x13);
+       DEBUG_PRINTF_INTR("%s(): starting execution of INT13...\n",
+                         __func__);
+       X86EMU_exec();
+       DEBUG_PRINTF_INTR("%s(): execution finished\n", __func__);
+}
diff --git a/src/devices/oprom/yabel/interrupt.h b/src/devices/oprom/yabel/interrupt.h
new file mode 100644 (file)
index 0000000..11755e1
--- /dev/null
@@ -0,0 +1,21 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+#ifndef _BIOSEMU_INTERRUPT_H_
+#define _BIOSEMU_INTERRUPT_H_
+
+void handleInterrupt(int intNum);
+
+void runInt10(void);
+
+void runInt13(void);
+
+#endif
diff --git a/src/devices/oprom/yabel/io.c b/src/devices/oprom/yabel/io.c
new file mode 100644 (file)
index 0000000..38a5d32
--- /dev/null
@@ -0,0 +1,574 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * Copyright (c) 2009 Pattrick Hueper <phueper@hueper.net>
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#include <types.h>
+#include "compat/rtas.h"
+#include "compat/time.h"
+#include "device.h"
+#include "debug.h"
+#include <x86emu/x86emu.h>
+#include "io.h"
+
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+#include <device/pci.h>
+#include <device/pci_ops.h>
+#endif
+
+static unsigned int
+read_io(void *addr, size_t sz)
+{
+        unsigned int ret;
+       /* since we are using inb instructions, we need the port number as 16bit value */
+       u16 port = (u16)(u32) addr;
+
+        switch (sz) {
+        case 1:
+               asm volatile ("inb %1, %b0" : "=a"(ret) : "d" (port));
+                break;
+        case 2:
+               asm volatile ("inw %1, %w0" : "=a"(ret) : "d" (port));
+                break;
+        case 4:
+               asm volatile ("inl %1, %0" : "=a"(ret) : "d" (port));
+                break;
+        default:
+                ret = 0;
+        }
+
+        return ret;
+}
+
+static int
+write_io(void *addr, unsigned int value, size_t sz)
+{
+       u16 port = (u16)(u32) addr;
+        switch (sz) {
+       /* since we are using inb instructions, we need the port number as 16bit value */
+        case 1:
+               asm volatile ("outb %b0, %1" : : "a"(value), "d" (port));
+                break;
+        case 2:
+               asm volatile ("outw %w0, %1" : : "a"(value), "d" (port));
+                break;
+        case 4:
+               asm volatile ("outl %0, %1" : : "a"(value), "d" (port));
+                break;
+        default:
+                return -1;
+        }
+
+        return 0;
+}
+
+#ifdef CONFIG_ARCH_X86
+#include <arch/io.h>
+#else
+// these are not used, only needed for linking,  must be overridden using X86emu_setupPioFuncs
+// with the functions and struct below
+void
+outb(u8 val, u16 port)
+{
+       printf("WARNING: outb not implemented!\n");
+       HALT_SYS();
+}
+
+void
+outw(u16 val, u16 port)
+{
+       printf("WARNING: outw not implemented!\n");
+       HALT_SYS();
+}
+
+void
+outl(u32 val, u16 port)
+{
+       printf("WARNING: outl not implemented!\n");
+       HALT_SYS();
+}
+
+u8
+inb(u16 port)
+{
+       printf("WARNING: inb not implemented!\n");
+       HALT_SYS();
+       return 0;
+}
+
+u16
+inw(u16 port)
+{
+       printf("WARNING: inw not implemented!\n");
+       HALT_SYS();
+       return 0;
+}
+
+u32
+inl(u16 port)
+{
+       printf("WARNING: inl not implemented!\n");
+       HALT_SYS();
+       return 0;
+}
+#endif
+
+#if defined(CONFIG_YABEL_DIRECTHW) && (CONFIG_YABEL_DIRECTHW == 1)
+u8 my_inb(X86EMU_pioAddr addr)
+{
+       u8 val;
+
+       val = inb(addr);
+       DEBUG_PRINTF_IO("inb(0x%04x) = 0x%02x\n", addr, val);
+
+       return val;
+}
+
+u16 my_inw(X86EMU_pioAddr addr)
+{
+       u16 val;
+
+       val = inw(addr);
+       DEBUG_PRINTF_IO("inw(0x%04x) = 0x%04x\n", addr, val);
+
+       return val;
+}
+
+u32 my_inl(X86EMU_pioAddr addr)
+{
+       u32 val;
+
+       val = inl(addr);
+       DEBUG_PRINTF_IO("inl(0x%04x) = 0x%08x\n", addr, val);
+
+       return val;
+}
+
+void my_outb(X86EMU_pioAddr addr, u8 val)
+{
+       DEBUG_PRINTF_IO("outb(0x%02x, 0x%04x)\n", val, addr);
+       outb(val, addr);
+}
+
+void my_outw(X86EMU_pioAddr addr, u16 val)
+{
+       DEBUG_PRINTF_IO("outw(0x%04x, 0x%04x)\n", val, addr);
+       outw(val, addr);
+}
+
+void my_outl(X86EMU_pioAddr addr, u32 val)
+{
+       DEBUG_PRINTF_IO("outl(0x%08x, 0x%04x)\n", val, addr);
+       outl(val, addr);
+}
+
+#else
+
+u32 pci_cfg_read(X86EMU_pioAddr addr, u8 size);
+void pci_cfg_write(X86EMU_pioAddr addr, u32 val, u8 size);
+u8 handle_port_61h(void);
+
+u8
+my_inb(X86EMU_pioAddr addr)
+{
+       u8 rval = 0xFF;
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       if (translated != 0) {
+               //translation successfull, access Device I/O (BAR or Legacy...)
+               DEBUG_PRINTF_IO("%s(%x): access to Device I/O\n", __func__,
+                               addr);
+               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
+               rval = read_io((void *)translated_addr, 1);
+               DEBUG_PRINTF_IO("%s(%04x) Device I/O --> %02x\n", __func__,
+                               addr, rval);
+               return rval;
+       } else {
+               switch (addr) {
+               case 0x61:
+                       //8254 KB Controller / Timer Port
+                       // rval = handle_port_61h();
+                       rval = inb(0x61);
+                       //DEBUG_PRINTF_IO("%s(%04x) KB / Timer Port B --> %02x\n", __func__, addr, rval);
+                       return rval;
+                       break;
+               case 0xCFC:
+               case 0xCFD:
+               case 0xCFE:
+               case 0xCFF:
+                       // PCI Config Mechanism 1 Ports
+                       return (u8) pci_cfg_read(addr, 1);
+                       break;
+               case 0x0a:
+                       CHECK_DBG(DEBUG_INTR) {
+                               X86EMU_trace_on();
+                       }
+                       M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F;
+                       //HALT_SYS();
+                       // no break, intentional fall-through to default!!
+               default:
+                       DEBUG_PRINTF_IO
+                           ("%s(%04x) reading from bios_device.io_buffer\n",
+                            __func__, addr);
+                       rval = *((u8 *) (bios_device.io_buffer + addr));
+                       DEBUG_PRINTF_IO("%s(%04x) I/O Buffer --> %02x\n",
+                                       __func__, addr, rval);
+                       return rval;
+                       break;
+               }
+       }
+}
+
+u16
+my_inw(X86EMU_pioAddr addr)
+{
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       if (translated != 0) {
+               //translation successfull, access Device I/O (BAR or Legacy...)
+               DEBUG_PRINTF_IO("%s(%x): access to Device I/O\n", __func__,
+                               addr);
+               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
+               u16 rval;
+               if ((translated_addr & (u64) 0x1) == 0) {
+                       // 16 bit aligned access...
+                       u16 tempval = read_io((void *)translated_addr, 2);
+                       //little endian conversion
+                       rval = in16le((void *) &tempval);
+               } else {
+                       // unaligned access, read single bytes, little-endian
+                       rval = (read_io((void *)translated_addr, 1) << 8)
+                               | (read_io((void *)(translated_addr + 1), 1));
+               }
+               DEBUG_PRINTF_IO("%s(%04x) Device I/O --> %04x\n", __func__,
+                               addr, rval);
+               return rval;
+       } else {
+               switch (addr) {
+               case 0xCFC:
+               case 0xCFE:
+                       //PCI Config Mechanism 1
+                       return (u16) pci_cfg_read(addr, 2);
+                       break;
+               default:
+                       DEBUG_PRINTF_IO
+                           ("%s(%04x) reading from bios_device.io_buffer\n",
+                            __func__, addr);
+                       u16 rval =
+                           in16le((void *) bios_device.io_buffer + addr);
+                       DEBUG_PRINTF_IO("%s(%04x) I/O Buffer --> %04x\n",
+                                       __func__, addr, rval);
+                       return rval;
+                       break;
+               }
+       }
+}
+
+u32
+my_inl(X86EMU_pioAddr addr)
+{
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       if (translated != 0) {
+               //translation successfull, access Device I/O (BAR or Legacy...)
+               DEBUG_PRINTF_IO("%s(%x): access to Device I/O\n", __func__,
+                               addr);
+               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
+               u32 rval;
+               if ((translated_addr & (u64) 0x3) == 0) {
+                       // 32 bit aligned access...
+                       u32 tempval = read_io((void *) translated_addr, 4);
+                       //little endian conversion
+                       rval = in32le((void *) &tempval);
+               } else {
+                       // unaligned access, read single bytes, little-endian
+                       rval = (read_io((void *)(translated_addr), 1) << 24)
+                               | (read_io((void *)(translated_addr + 1), 1) << 16)
+                               | (read_io((void *)(translated_addr + 2), 1) << 8)
+                               | (read_io((void *)(translated_addr + 3), 1));
+               }
+               DEBUG_PRINTF_IO("%s(%04x) Device I/O --> %08x\n", __func__,
+                               addr, rval);
+               return rval;
+       } else {
+               switch (addr) {
+               case 0xCFC:
+                       //PCI Config Mechanism 1
+                       return pci_cfg_read(addr, 4);
+                       break;
+               default:
+                       DEBUG_PRINTF_IO
+                           ("%s(%04x) reading from bios_device.io_buffer\n",
+                            __func__, addr);
+                       u32 rval =
+                           in32le((void *) bios_device.io_buffer + addr);
+                       DEBUG_PRINTF_IO("%s(%04x) I/O Buffer --> %08x\n",
+                                       __func__, addr, rval);
+                       return rval;
+                       break;
+               }
+       }
+}
+
+void
+my_outb(X86EMU_pioAddr addr, u8 val)
+{
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       if (translated != 0) {
+               //translation successfull, access Device I/O (BAR or Legacy...)
+               DEBUG_PRINTF_IO("%s(%x, %x): access to Device I/O\n",
+                               __func__, addr, val);
+               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
+               write_io((void *) translated_addr, val, 1);
+               DEBUG_PRINTF_IO("%s(%04x) Device I/O <-- %02x\n", __func__,
+                               addr, val);
+       } else {
+               switch (addr) {
+               case 0xCFC:
+               case 0xCFD:
+               case 0xCFE:
+               case 0xCFF:
+                       // PCI Config Mechanism 1 Ports
+                       pci_cfg_write(addr, val, 1);
+                       break;
+               default:
+                       DEBUG_PRINTF_IO
+                           ("%s(%04x,%02x) writing to bios_device.io_buffer\n",
+                            __func__, addr, val);
+                       *((u8 *) (bios_device.io_buffer + addr)) = val;
+                       break;
+               }
+       }
+}
+
+void
+my_outw(X86EMU_pioAddr addr, u16 val)
+{
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       if (translated != 0) {
+               //translation successfull, access Device I/O (BAR or Legacy...)
+               DEBUG_PRINTF_IO("%s(%x, %x): access to Device I/O\n",
+                               __func__, addr, val);
+               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
+               if ((translated_addr & (u64) 0x1) == 0) {
+                       // little-endian conversion
+                       u16 tempval = in16le((void *) &val);
+                       // 16 bit aligned access...
+                       write_io((void *) translated_addr, tempval, 2);
+               } else {
+                       // unaligned access, write single bytes, little-endian
+                       write_io(((void *) (translated_addr + 1)),
+                               (u8) ((val & 0xFF00) >> 8), 1);
+                       write_io(((void *) translated_addr),
+                               (u8) (val & 0x00FF), 1);
+               }
+               DEBUG_PRINTF_IO("%s(%04x) Device I/O <-- %04x\n", __func__,
+                               addr, val);
+       } else {
+               switch (addr) {
+               case 0xCFC:
+               case 0xCFE:
+                       // PCI Config Mechanism 1 Ports
+                       pci_cfg_write(addr, val, 2);
+                       break;
+               default:
+                       DEBUG_PRINTF_IO
+                           ("%s(%04x,%04x) writing to bios_device.io_buffer\n",
+                            __func__, addr, val);
+                       out16le((void *) bios_device.io_buffer + addr, val);
+                       break;
+               }
+       }
+}
+
+void
+my_outl(X86EMU_pioAddr addr, u32 val)
+{
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       if (translated != 0) {
+               //translation successfull, access Device I/O (BAR or Legacy...)
+               DEBUG_PRINTF_IO("%s(%x, %x): access to Device I/O\n",
+                               __func__, addr, val);
+               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
+               if ((translated_addr & (u64) 0x3) == 0) {
+                       // little-endian conversion
+                       u32 tempval = in32le((void *) &val);
+                       // 32 bit aligned access...
+                       write_io((void *) translated_addr,  tempval, 4);
+               } else {
+                       // unaligned access, write single bytes, little-endian
+                       write_io(((void *) translated_addr + 3),
+                           (u8) ((val & 0xFF000000) >> 24), 1);
+                       write_io(((void *) translated_addr + 2),
+                           (u8) ((val & 0x00FF0000) >> 16), 1);
+                       write_io(((void *) translated_addr + 1),
+                           (u8) ((val & 0x0000FF00) >> 8), 1);
+                       write_io(((void *) translated_addr),
+                           (u8) (val & 0x000000FF), 1);
+               }
+               DEBUG_PRINTF_IO("%s(%04x) Device I/O <-- %08x\n", __func__,
+                               addr, val);
+       } else {
+               switch (addr) {
+               case 0xCFC:
+                       // PCI Config Mechanism 1 Ports
+                       pci_cfg_write(addr, val, 4);
+                       break;
+               default:
+                       DEBUG_PRINTF_IO
+                           ("%s(%04x,%08x) writing to bios_device.io_buffer\n",
+                            __func__, addr, val);
+                       out32le((void *) bios_device.io_buffer + addr, val);
+                       break;
+               }
+       }
+}
+
+u32
+pci_cfg_read(X86EMU_pioAddr addr, u8 size)
+{
+       u32 rval = 0xFFFFFFFF;
+       struct device * dev;
+       if ((addr >= 0xCFC) && ((addr + size) <= 0xD00)) {
+               // PCI Configuration Mechanism 1 step 1
+               // write to 0xCF8, sets bus, device, function and Config Space offset
+               // later read from 0xCFC-0xCFF returns the value...
+               u8 bus, devfn, offs;
+               u32 port_cf8_val = my_inl(0xCF8);
+               if ((port_cf8_val & 0x80000000) != 0) {
+                       //highest bit enables config space mapping
+                       bus = (port_cf8_val & 0x00FF0000) >> 16;
+                       devfn = (port_cf8_val & 0x0000FF00) >> 8;
+                       offs = (port_cf8_val & 0x000000FF);
+                       offs += (addr - 0xCFC); // if addr is not 0xcfc, the offset is moved accordingly
+                       DEBUG_PRINTF_INTR("%s(): PCI Config Read from device: bus: %02x, devfn: %02x, offset: %02x\n",
+                               __func__, bus, devfn, offs);
+#if defined(CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES) && CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES==1
+                       dev = dev_find_slot(bus, devfn);
+                       DEBUG_PRINTF_INTR("%s(): dev_find_slot() returned: %s\n",
+                               __func__, dev_path(dev));
+                       if (dev == 0) {
+                               // fail accesses to non-existent devices...
+#else
+                       dev = bios_device.dev;
+                       if ((bus != bios_device.bus)
+                            || (devfn != bios_device.devfn)) {
+                               // fail accesses to any device but ours...
+#endif
+                               printf
+                                   ("%s(): Config read access invalid device! bus: %02x (%02x), devfn: %02x (%02x), offs: %02x\n",
+                                    __func__, bus, bios_device.bus, devfn,
+                                    bios_device.devfn, offs);
+                               SET_FLAG(F_CF);
+                               HALT_SYS();
+                               return 0;
+                       } else {
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+                               switch (size) {
+                                       case 1:
+                                               rval = pci_read_config8(dev, offs);
+                                               break;
+                                       case 2:
+                                               rval = pci_read_config16(dev, offs);
+                                               break;
+                                       case 4:
+                                               rval = pci_read_config32(dev, offs);
+                                               break;
+                               }
+#else
+                               rval =
+                                   (u32) rtas_pci_config_read(bios_device.
+                                                                   puid, size,
+                                                                   bus, devfn,
+                                                                   offs);
+#endif
+                               DEBUG_PRINTF_IO
+                                   ("%s(%04x) PCI Config Read @%02x, size: %d --> 0x%08x\n",
+                                    __func__, addr, offs, size, rval);
+                       }
+               }
+       }
+       return rval;
+}
+
+void
+pci_cfg_write(X86EMU_pioAddr addr, u32 val, u8 size)
+{
+       if ((addr >= 0xCFC) && ((addr + size) <= 0xD00)) {
+               // PCI Configuration Mechanism 1 step 1
+               // write to 0xCF8, sets bus, device, function and Config Space offset
+               // later write to 0xCFC-0xCFF sets the value...
+               u8 bus, devfn, offs;
+               u32 port_cf8_val = my_inl(0xCF8);
+               if ((port_cf8_val & 0x80000000) != 0) {
+                       //highest bit enables config space mapping
+                       bus = (port_cf8_val & 0x00FF0000) >> 16;
+                       devfn = (port_cf8_val & 0x0000FF00) >> 8;
+                       offs = (port_cf8_val & 0x000000FF);
+                       offs += (addr - 0xCFC); // if addr is not 0xcfc, the offset is moved accordingly
+                       if ((bus != bios_device.bus)
+                           || (devfn != bios_device.devfn)) {
+                               // fail accesses to any device but ours...
+                               printf
+                                   ("Config write access invalid! PCI device %x:%x.%x, offs: %x\n",
+                                    bus, devfn >> 3, devfn & 7, offs);
+                               HALT_SYS();
+                       } else {
+#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
+                               switch (size) {
+                                       case 1:
+                                               pci_write_config8(bios_device.dev, offs, val);
+                                               break;
+                                       case 2:
+                                               pci_write_config16(bios_device.dev, offs, val);
+                                               break;
+                                       case 4:
+                                               pci_write_config32(bios_device.dev, offs, val);
+                                               break;
+                               }
+#else
+                               rtas_pci_config_write(bios_device.puid,
+                                                     size, bus, devfn, offs,
+                                                     val);
+#endif
+                               DEBUG_PRINTF_IO
+                                   ("%s(%04x) PCI Config Write @%02x, size: %d <-- 0x%08x\n",
+                                    __func__, addr, offs, size, val);
+                       }
+               }
+       }
+}
+
+u8
+handle_port_61h(void)
+{
+       static u64 last_time = 0;
+       u64 curr_time = get_time();
+       u64 time_diff;  // time since last call
+       u32 period_ticks;       // length of a period in ticks
+       u32 nr_periods; //number of periods passed since last call
+       // bit 4 should toggle with every (DRAM) refresh cycle... (66kHz??)
+       time_diff = curr_time - last_time;
+       // at 66kHz a period is ~ 15 ns long, converted to ticks: (tb_freq is ticks/second)
+       // TODO: as long as the frequency does not change, we should not calculate this every time
+       period_ticks = (15 * tb_freq) / 1000000;
+       nr_periods = time_diff / period_ticks;
+       // if the number if ticks passed since last call is odd, we toggle bit 4
+       if ((nr_periods % 2) != 0) {
+               *((u8 *) (bios_device.io_buffer + 0x61)) ^= 0x10;
+       }
+       //finally read the value from the io_buffer
+       return *((u8 *) (bios_device.io_buffer + 0x61));
+}
+#endif
diff --git a/src/devices/oprom/yabel/io.h b/src/devices/oprom/yabel/io.h
new file mode 100644 (file)
index 0000000..6b2dcc4
--- /dev/null
@@ -0,0 +1,30 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#ifndef _BIOSEMU_IO_H_
+#define _BIOSEMU_IO_H_
+#include <x86emu/x86emu.h>
+#include <types.h>
+
+u8 my_inb(X86EMU_pioAddr addr);
+
+u16 my_inw(X86EMU_pioAddr addr);
+
+u32 my_inl(X86EMU_pioAddr addr);
+
+void my_outb(X86EMU_pioAddr addr, u8 val);
+
+void my_outw(X86EMU_pioAddr addr, u16 val);
+
+void my_outl(X86EMU_pioAddr addr, u32 val);
+
+#endif
diff --git a/src/devices/oprom/yabel/mem.c b/src/devices/oprom/yabel/mem.c
new file mode 100644 (file)
index 0000000..8bcc9e1
--- /dev/null
@@ -0,0 +1,493 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * Copyright (c) 2009 Pattrick Hueper <phueper@hueper.net>
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#include <types.h>
+#include "debug.h"
+#include "device.h"
+#include "x86emu/x86emu.h"
+#include "biosemu.h"
+#include "mem.h"
+#include "compat/time.h"
+
+// define a check for access to certain (virtual) memory regions (interrupt handlers, BIOS Data Area, ...)
+#if CONFIG_X86EMU_DEBUG
+static u8 in_check = 0;        // to avoid recursion...
+u16 ebda_segment;
+u32 ebda_size;
+
+//TODO: these macros have grown so large, that they should be changed to an inline function,
+//just for the sake of readability...
+
+#define DEBUG_CHECK_VMEM_READ(_addr, _rval) \
+   if ((debug_flags & DEBUG_CHECK_VMEM_ACCESS) && (in_check == 0)) { \
+         in_check = 1; \
+         /* determine ebda_segment and size \
+          * since we are using my_rdx calls, make sure, this is after setting in_check! */ \
+         /* offset 03 in BDA is EBDA segment */ \
+         ebda_segment = my_rdw(0x40e); \
+         /* first value in ebda is size in KB */ \
+         ebda_size = my_rdb(ebda_segment << 4) * 1024; \
+                       /* check Interrupt Vector Access (0000:0000h - 0000:0400h) */ \
+                       if (_addr < 0x400) { \
+                               DEBUG_PRINTF_CS_IP("%s: read from Interrupt Vector %x --> %x\n", \
+                                               __func__, _addr / 4, _rval); \
+                       } \
+                       /* access to BIOS Data Area (0000:0400h - 0000:0500h)*/ \
+                       else if ((_addr >= 0x400) && (addr < 0x500)) { \
+                               DEBUG_PRINTF_CS_IP("%s: read from BIOS Data Area: addr: %x --> %x\n", \
+                                               __func__, _addr, _rval); \
+                               /* dump registers */ \
+                               /* x86emu_dump_xregs(); */ \
+                       } \
+                       /* access to first 64k of memory... */ \
+                       else if (_addr < 0x10000) { \
+                               DEBUG_PRINTF_CS_IP("%s: read from segment 0000h: addr: %x --> %x\n", \
+                                               __func__, _addr, _rval); \
+                               /* dump registers */ \
+                               /* x86emu_dump_xregs(); */ \
+                       } \
+                       /* read from PMM_CONV_SEGMENT */ \
+                       else if ((_addr <= ((PMM_CONV_SEGMENT << 4) | 0xffff)) && (_addr >= (PMM_CONV_SEGMENT << 4))) { \
+                               DEBUG_PRINTF_CS_IP("%s: read from PMM Segment %04xh: addr: %x --> %x\n", \
+                                               __func__, PMM_CONV_SEGMENT, _addr, _rval); \
+                               /* HALT_SYS(); */ \
+                               /* dump registers */ \
+                               /* x86emu_dump_xregs(); */ \
+                       } \
+                       /* read from PNP_DATA_SEGMENT */ \
+                       else if ((_addr <= ((PNP_DATA_SEGMENT << 4) | 0xffff)) && (_addr >= (PNP_DATA_SEGMENT << 4))) { \
+                               DEBUG_PRINTF_CS_IP("%s: read from PnP Data Segment %04xh: addr: %x --> %x\n", \
+                                               __func__, PNP_DATA_SEGMENT, _addr, _rval); \
+                               /* HALT_SYS(); */ \
+                               /* dump registers */ \
+                               /* x86emu_dump_xregs(); */ \
+                       } \
+                       /* read from EBDA Segment */ \
+                       else if ((_addr <= ((ebda_segment << 4) | (ebda_size - 1))) && (_addr >= (ebda_segment << 4))) { \
+                               DEBUG_PRINTF_CS_IP("%s: read from Extended BIOS Data Area %04xh, size: %04x: addr: %x --> %x\n", \
+                                               __func__, ebda_segment, ebda_size, _addr, _rval); \
+                       } \
+                       /* read from BIOS_DATA_SEGMENT */ \
+                       else if ((_addr <= ((BIOS_DATA_SEGMENT << 4) | 0xffff)) && (_addr >= (BIOS_DATA_SEGMENT << 4))) { \
+                               DEBUG_PRINTF_CS_IP("%s: read from BIOS Data Segment %04xh: addr: %x --> %x\n", \
+                                               __func__, BIOS_DATA_SEGMENT, _addr, _rval); \
+                               /* for PMM debugging */ \
+                               /*if (_addr == BIOS_DATA_SEGMENT << 4) { \
+                                       X86EMU_trace_on(); \
+                                       M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F; \
+                               }*/ \
+                               /* dump registers */ \
+                               /* x86emu_dump_xregs(); */ \
+                       } \
+         in_check = 0; \
+   }
+#define DEBUG_CHECK_VMEM_WRITE(_addr, _val) \
+   if ((debug_flags & DEBUG_CHECK_VMEM_ACCESS) && (in_check == 0)) { \
+         in_check = 1; \
+         /* determine ebda_segment and size \
+          * since we are using my_rdx calls, make sure, this is after setting in_check! */ \
+         /* offset 03 in BDA is EBDA segment */ \
+         ebda_segment = my_rdw(0x40e); \
+         /* first value in ebda is size in KB */ \
+         ebda_size = my_rdb(ebda_segment << 4) * 1024; \
+                       /* check Interrupt Vector Access (0000:0000h - 0000:0400h) */ \
+                       if (_addr < 0x400) { \
+                               DEBUG_PRINTF_CS_IP("%s: write to Interrupt Vector %x <-- %x\n", \
+                                               __func__, _addr / 4, _val); \
+                       } \
+                       /* access to BIOS Data Area (0000:0400h - 0000:0500h)*/ \
+                       else if ((_addr >= 0x400) && (addr < 0x500)) { \
+                               DEBUG_PRINTF_CS_IP("%s: write to BIOS Data Area: addr: %x <-- %x\n", \
+                                               __func__, _addr, _val); \
+                               /* dump registers */ \
+                               /* x86emu_dump_xregs(); */ \
+                       } \
+                       /* access to first 64k of memory...*/ \
+                       else if (_addr < 0x10000) { \
+                               DEBUG_PRINTF_CS_IP("%s: write to segment 0000h: addr: %x <-- %x\n", \
+                                               __func__, _addr, _val); \
+                               /* dump registers */ \
+                               /* x86emu_dump_xregs(); */ \
+                       } \
+                       /* write to PMM_CONV_SEGMENT... */ \
+                       else if ((_addr <= ((PMM_CONV_SEGMENT << 4) | 0xffff)) && (_addr >= (PMM_CONV_SEGMENT << 4))) { \
+                               DEBUG_PRINTF_CS_IP("%s: write to PMM Segment %04xh: addr: %x <-- %x\n", \
+                                               __func__, PMM_CONV_SEGMENT, _addr, _val); \
+                               /* dump registers */ \
+                               /* x86emu_dump_xregs(); */ \
+                       } \
+                       /* write to PNP_DATA_SEGMENT... */ \
+                       else if ((_addr <= ((PNP_DATA_SEGMENT << 4) | 0xffff)) && (_addr >= (PNP_DATA_SEGMENT << 4))) { \
+                               DEBUG_PRINTF_CS_IP("%s: write to PnP Data Segment %04xh: addr: %x <-- %x\n", \
+                                               __func__, PNP_DATA_SEGMENT, _addr, _val); \
+                               /* dump registers */ \
+                               /* x86emu_dump_xregs(); */ \
+                       } \
+                       /* write to EBDA Segment... */ \
+                       else if ((_addr <= ((ebda_segment << 4) | (ebda_size - 1))) && (_addr >= (ebda_segment << 4))) { \
+                               DEBUG_PRINTF_CS_IP("%s: write to Extended BIOS Data Area %04xh, size: %04x: addr: %x <-- %x\n", \
+                                               __func__, ebda_segment, ebda_size, _addr, _val); \
+                       } \
+                       /* write to BIOS_DATA_SEGMENT... */ \
+                       else if ((_addr <= ((BIOS_DATA_SEGMENT << 4) | 0xffff)) && (_addr >= (BIOS_DATA_SEGMENT << 4))) { \
+                               DEBUG_PRINTF_CS_IP("%s: write to BIOS Data Segment %04xh: addr: %x <-- %x\n", \
+                                               __func__, BIOS_DATA_SEGMENT, _addr, _val); \
+                               /* dump registers */ \
+                               /* x86emu_dump_xregs(); */ \
+                       } \
+                       /* write to current CS segment... */ \
+                       else if ((_addr < ((M.x86.R_CS << 4) | 0xffff)) && (_addr > (M.x86.R_CS << 4))) { \
+                               DEBUG_PRINTF_CS_IP("%s: write to CS segment %04xh: addr: %x <-- %x\n", \
+                                               __func__, M.x86.R_CS, _addr, _val); \
+                               /* dump registers */ \
+                               /* x86emu_dump_xregs(); */ \
+                       } \
+         in_check = 0; \
+   }
+#else
+#define DEBUG_CHECK_VMEM_READ(_addr, _rval)
+#define DEBUG_CHECK_VMEM_WRITE(_addr, _val)
+#endif
+
+void update_time(u32);
+
+#if !defined(CONFIG_YABEL_DIRECTHW) || (!CONFIG_YABEL_DIRECTHW)
+// read byte from memory
+u8
+my_rdb(u32 addr)
+{
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       u8 rval;
+       if (translated != 0) {
+               //translation successfull, access VGA Memory (BAR or Legacy...)
+               DEBUG_PRINTF_MEM("%s(%08x): access to VGA Memory\n",
+                                __func__, addr);
+               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __func__, addr, translated_addr);
+               set_ci();
+               rval = *((u8 *) translated_addr);
+               clr_ci();
+               DEBUG_PRINTF_MEM("%s(%08x) VGA --> %02x\n", __func__, addr,
+                                rval);
+               return rval;
+       } else if (addr > M.mem_size) {
+               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
+                            __func__, addr);
+               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
+               HALT_SYS();
+       } else {
+               /* read from virtual memory */
+               rval = *((u8 *) (M.mem_base + addr));
+               DEBUG_CHECK_VMEM_READ(addr, rval);
+               return rval;
+       }
+       return -1;
+}
+
+//read word from memory
+u16
+my_rdw(u32 addr)
+{
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       u16 rval;
+       if (translated != 0) {
+               //translation successfull, access VGA Memory (BAR or Legacy...)
+               DEBUG_PRINTF_MEM("%s(%08x): access to VGA Memory\n",
+                                __func__, addr);
+               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __func__, addr, translated_addr);
+               // check for legacy memory, because of the remapping to BARs, the reads must
+               // be byte reads...
+               if ((addr >= 0xa0000) && (addr < 0xc0000)) {
+                       //read bytes a using my_rdb, because of the remapping to BARs
+                       //words may not be contiguous in memory, so we need to translate
+                       //every address...
+                       rval = ((u8) my_rdb(addr)) |
+                           (((u8) my_rdb(addr + 1)) << 8);
+               } else {
+                       if ((translated_addr & (u64) 0x1) == 0) {
+                               // 16 bit aligned access...
+                               set_ci();
+                               rval = in16le((void *) translated_addr);
+                               clr_ci();
+                       } else {
+                               // unaligned access, read single bytes
+                               set_ci();
+                               rval = (*((u8 *) translated_addr)) |
+                                   (*((u8 *) translated_addr + 1) << 8);
+                               clr_ci();
+                       }
+               }
+               DEBUG_PRINTF_MEM("%s(%08x) VGA --> %04x\n", __func__, addr,
+                                rval);
+               return rval;
+       } else if (addr > M.mem_size) {
+               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
+                            __func__, addr);
+               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
+               HALT_SYS();
+       } else {
+               /* read from virtual memory */
+               rval = in16le((void *) (M.mem_base + addr));
+               DEBUG_CHECK_VMEM_READ(addr, rval);
+               return rval;
+       }
+       return -1;
+}
+
+//read long from memory
+u32
+my_rdl(u32 addr)
+{
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       u32 rval;
+       if (translated != 0) {
+               //translation successfull, access VGA Memory (BAR or Legacy...)
+               DEBUG_PRINTF_MEM("%s(%x): access to VGA Memory\n",
+                                __func__, addr);
+               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __func__, addr, translated_addr);
+               // check for legacy memory, because of the remapping to BARs, the reads must
+               // be byte reads...
+               if ((addr >= 0xa0000) && (addr < 0xc0000)) {
+                       //read bytes a using my_rdb, because of the remapping to BARs
+                       //dwords may not be contiguous in memory, so we need to translate
+                       //every address...
+                       rval = ((u8) my_rdb(addr)) |
+                           (((u8) my_rdb(addr + 1)) << 8) |
+                           (((u8) my_rdb(addr + 2)) << 16) |
+                           (((u8) my_rdb(addr + 3)) << 24);
+               } else {
+                       if ((translated_addr & (u64) 0x3) == 0) {
+                               // 32 bit aligned access...
+                               set_ci();
+                               rval = in32le((void *) translated_addr);
+                               clr_ci();
+                       } else {
+                               // unaligned access, read single bytes
+                               set_ci();
+                               rval = (*((u8 *) translated_addr)) |
+                                   (*((u8 *) translated_addr + 1) << 8) |
+                                   (*((u8 *) translated_addr + 2) << 16) |
+                                   (*((u8 *) translated_addr + 3) << 24);
+                               clr_ci();
+                       }
+               }
+               DEBUG_PRINTF_MEM("%s(%08x) VGA --> %08x\n", __func__, addr,
+                                rval);
+               //HALT_SYS();
+               return rval;
+       } else if (addr > M.mem_size) {
+               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
+                            __func__, addr);
+               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
+               HALT_SYS();
+       } else {
+               /* read from virtual memory */
+               rval = in32le((void *) (M.mem_base + addr));
+               switch (addr) {
+               case 0x46c:
+                       //BDA Time Data, update it, before reading
+                       update_time(rval);
+                       rval = in32le((void *) (M.mem_base + addr));
+                       break;
+               }
+               DEBUG_CHECK_VMEM_READ(addr, rval);
+               return rval;
+       }
+       return -1;
+}
+
+//write byte to memory
+void
+my_wrb(u32 addr, u8 val)
+{
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       if (translated != 0) {
+               //translation successfull, access VGA Memory (BAR or Legacy...)
+               DEBUG_PRINTF_MEM("%s(%x, %x): access to VGA Memory\n",
+                                __func__, addr, val);
+               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __func__, addr, translated_addr);
+               set_ci();
+               *((u8 *) translated_addr) = val;
+               clr_ci();
+       } else if (addr > M.mem_size) {
+               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
+                            __func__, addr);
+               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
+               HALT_SYS();
+       } else {
+               /* write to virtual memory */
+               DEBUG_CHECK_VMEM_WRITE(addr, val);
+               *((u8 *) (M.mem_base + addr)) = val;
+       }
+}
+
+void
+my_wrw(u32 addr, u16 val)
+{
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       if (translated != 0) {
+               //translation successfull, access VGA Memory (BAR or Legacy...)
+               DEBUG_PRINTF_MEM("%s(%x, %x): access to VGA Memory\n",
+                                __func__, addr, val);
+               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __func__, addr, translated_addr);
+               // check for legacy memory, because of the remapping to BARs, the reads must
+               // be byte reads...
+               if ((addr >= 0xa0000) && (addr < 0xc0000)) {
+                       //read bytes a using my_rdb, because of the remapping to BARs
+                       //words may not be contiguous in memory, so we need to translate
+                       //every address...
+                       my_wrb(addr, (u8) (val & 0x00FF));
+                       my_wrb(addr + 1, (u8) ((val & 0xFF00) >> 8));
+               } else {
+                       if ((translated_addr & (u64) 0x1) == 0) {
+                               // 16 bit aligned access...
+                               set_ci();
+                               out16le((void *) translated_addr, val);
+                               clr_ci();
+                       } else {
+                               // unaligned access, write single bytes
+                               set_ci();
+                               *((u8 *) translated_addr) =
+                                   (u8) (val & 0x00FF);
+                               *((u8 *) translated_addr + 1) =
+                                   (u8) ((val & 0xFF00) >> 8);
+                               clr_ci();
+                       }
+               }
+       } else if (addr > M.mem_size) {
+               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
+                            __func__, addr);
+               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
+               HALT_SYS();
+       } else {
+               /* write to virtual memory */
+               DEBUG_CHECK_VMEM_WRITE(addr, val);
+               out16le((void *) (M.mem_base + addr), val);
+       }
+}
+void
+my_wrl(u32 addr, u32 val)
+{
+       unsigned long translated_addr = addr;
+       u8 translated = biosemu_dev_translate_address(&translated_addr);
+       if (translated != 0) {
+               //translation successfull, access VGA Memory (BAR or Legacy...)
+               DEBUG_PRINTF_MEM("%s(%x, %x): access to VGA Memory\n",
+                                __func__, addr, val);
+               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n",  __func__, addr, translated_addr);
+               // check for legacy memory, because of the remapping to BARs, the reads must
+               // be byte reads...
+               if ((addr >= 0xa0000) && (addr < 0xc0000)) {
+                       //read bytes a using my_rdb, because of the remapping to BARs
+                       //words may not be contiguous in memory, so we need to translate
+                       //every address...
+                       my_wrb(addr, (u8) (val & 0x000000FF));
+                       my_wrb(addr + 1, (u8) ((val & 0x0000FF00) >> 8));
+                       my_wrb(addr + 2, (u8) ((val & 0x00FF0000) >> 16));
+                       my_wrb(addr + 3, (u8) ((val & 0xFF000000) >> 24));
+               } else {
+                       if ((translated_addr & (u64) 0x3) == 0) {
+                               // 32 bit aligned access...
+                               set_ci();
+                               out32le((void *) translated_addr, val);
+                               clr_ci();
+                       } else {
+                               // unaligned access, write single bytes
+                               set_ci();
+                               *((u8 *) translated_addr) =
+                                   (u8) (val & 0x000000FF);
+                               *((u8 *) translated_addr + 1) =
+                                   (u8) ((val & 0x0000FF00) >> 8);
+                               *((u8 *) translated_addr + 2) =
+                                   (u8) ((val & 0x00FF0000) >> 16);
+                               *((u8 *) translated_addr + 3) =
+                                   (u8) ((val & 0xFF000000) >> 24);
+                               clr_ci();
+                       }
+               }
+       } else if (addr > M.mem_size) {
+               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
+                            __func__, addr);
+               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
+               HALT_SYS();
+       } else {
+               /* write to virtual memory */
+               DEBUG_CHECK_VMEM_WRITE(addr, val);
+               out32le((void *) (M.mem_base + addr), val);
+       }
+}
+#else
+u8
+my_rdb(u32 addr)
+{
+       return rdb(addr);
+}
+
+u16
+my_rdw(u32 addr)
+{
+       return rdw(addr);
+}
+
+u32
+my_rdl(u32 addr)
+{
+       return rdl(addr);
+}
+
+void
+my_wrb(u32 addr, u8 val)
+{
+       wrb(addr, val);
+}
+
+void
+my_wrw(u32 addr, u16 val)
+{
+       wrw(addr, val);
+}
+
+void
+my_wrl(u32 addr, u32 val)
+{
+       wrl(addr, val);
+}
+#endif
+
+//update time in BIOS Data Area
+//DWord at offset 0x6c is the timer ticks since midnight, timer is running at 18Hz
+//byte at 0x70 is timer overflow (set if midnight passed since last call to interrupt 1a function 00
+//cur_val is the current value, of offset 6c...
+void
+update_time(u32 cur_val)
+{
+       //for convenience, we let the start of timebase be at midnight, we currently dont support
+       //real daytime anyway...
+       u64 ticks_per_day = tb_freq * 60 * 24;
+       // at 18Hz a period is ~55ms, converted to ticks (tb_freq is ticks/second)
+       u32 period_ticks = (55 * tb_freq) / 1000;
+       u64 curr_time = get_time();
+       u64 ticks_since_midnight = curr_time % ticks_per_day;
+       u32 periods_since_midnight = ticks_since_midnight / period_ticks;
+       // if periods since midnight is smaller than last value, set overflow
+       // at BDA Offset 0x70
+       if (periods_since_midnight < cur_val) {
+               my_wrb(0x470, 1);
+       }
+       // store periods since midnight at BDA offset 0x6c
+       my_wrl(0x46c, periods_since_midnight);
+}
diff --git a/src/devices/oprom/yabel/mem.h b/src/devices/oprom/yabel/mem.h
new file mode 100644 (file)
index 0000000..dca8cfc
--- /dev/null
@@ -0,0 +1,36 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#ifndef _BIOSEMU_MEM_H_
+#define _BIOSEMU_MEM_H_
+#include <x86emu/x86emu.h>
+#include <types.h>
+
+// read byte from memory
+u8 my_rdb(u32 addr);
+
+//read word from memory
+u16 my_rdw(u32 addr);
+
+//read long from memory
+u32 my_rdl(u32 addr);
+
+//write byte to memory
+void my_wrb(u32 addr, u8 val);
+
+//write word to memory
+void my_wrw(u32 addr, u16 val);
+
+//write long to memory
+void my_wrl(u32 addr, u32 val);
+
+#endif
diff --git a/src/devices/oprom/yabel/pmm.c b/src/devices/oprom/yabel/pmm.c
new file mode 100644 (file)
index 0000000..ad4dc68
--- /dev/null
@@ -0,0 +1,442 @@
+/****************************************************************************
+ * YABEL BIOS Emulator
+ *
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Copyright (c) 2008 Pattrick Hueper <phueper@hueper.net>
+ ****************************************************************************/
+
+#include <x86emu/x86emu.h>
+#include "../x86emu/prim_ops.h"
+#include <string.h>
+
+#include "biosemu.h"
+#include "pmm.h"
+#include "debug.h"
+#include "device.h"
+
+/* this struct is used to remember which PMM spaces
+ * have been assigned. MAX_PMM_AREAS defines how many 
+ * PMM areas we can assign. 
+ * All areas are assigned in PMM_CONV_SEGMENT
+ */
+typedef struct {
+       u32 handle;             /* handle that is returned to PMM caller */
+       u32 offset;             /* in PMM_CONV_SEGMENT */
+       u32 length;             /* length of this area */
+} pmm_allocation_t;
+
+#define MAX_PMM_AREAS 10
+
+/* array to store the above structs */
+static pmm_allocation_t pmm_allocation_array[MAX_PMM_AREAS];
+
+/* index into pmm_allocation_array */
+static u32 curr_pmm_allocation_index = 0;
+
+/* This function is used to setup the PMM struct in virtual memory 
+ * at a certain offset, the length of the PMM struct is returned */
+u8 pmm_setup(u16 segment, u16 offset)
+{
+       /* setup the PMM structure */
+       pmm_information_t *pis =
+           (pmm_information_t *) (M.mem_base + (((u32) segment) << 4) +
+                                  offset);
+       memset(pis, 0, sizeof(pmm_information_t));
+       /* set signature to $PMM */
+       pis->signature[0] = '$';
+       pis->signature[1] = 'P';
+       pis->signature[2] = 'M';
+       pis->signature[3] = 'M';
+       /* revision as specified */
+       pis->struct_rev = 0x01;
+       /* internal length, excluding code */
+       pis->length = ((void *)&(pis->code) - (void *)&(pis->signature));
+       /* the code to be executed, pointed to by entry_point_offset */
+       pis->code[0] = 0xCD;    /* INT */
+       pis->code[1] = PMM_INT_NUM;     /* my selfdefined PMM INT number */
+       pis->code[2] = 0xCB;    /* RETF */
+       /* set the entry_point_offset, it should point to pis->code, segment is the segment of
+        * this struct. Since pis->length is the length of the struct excluding code, offset+pis->length
+        * points to the code... it's that simple ;-)
+        */
+       out32le(&(pis->entry_point_offset),
+               (u32) segment << 16 | (u32) (offset + pis->length));
+       /* checksum calculation */
+       u8 i;
+       u8 checksum = 0;
+       for (i = 0; i < pis->length; i++) {
+               checksum += *(((u8 *) pis) + i);
+       }
+       pis->checksum = ((u8) 0) - checksum;
+       CHECK_DBG(DEBUG_PMM) {
+               DEBUG_PRINTF_PMM("PMM Structure:\n");
+               dump((void *)pis, sizeof(pmm_information_t));
+       }
+       return sizeof(pmm_information_t);
+}
+
+/* handle the selfdefined interrupt, this is executed, when the PMM Entry Point 
+ * is executed, it must handle all PMM requests
+ */
+void pmm_handleInt()
+{
+       u32 rval = 0;
+       u16 function, flags;
+       u32 handle, length;
+       u32 i, j;
+       u32 buffer;
+       /* !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
+        * according to the PMM Spec "the flags and all registers, except DX and AX
+        * are preserved across calls to PMM"
+        * so we save M.x86 and in :exit label we restore it, however, this means that no
+        * returns must be used in this function, any exit must use goto exit!
+        * !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
+        */
+       X86EMU_regs backup_regs = M.x86;
+       pop_long();             /* pop the return address, this is already saved in INT handler, we don't need
+                                  to remember this. */
+       function = pop_word();
+       switch (function) {
+       case 0:
+               /* function pmmAllocate */
+               length = pop_long();
+               length *= 16;   /* length is passed in "paragraphs" of 16 bytes each */
+               handle = pop_long();
+               flags = pop_word();
+               DEBUG_PRINTF_PMM
+                   ("%s: pmmAllocate: Length: %x, Handle: %x, Flags: %x\n",
+                    __func__, length, handle, flags);
+               if ((flags & 0x1) != 0) {
+                       /* request to allocate in  conventional memory */
+                       if (curr_pmm_allocation_index >= MAX_PMM_AREAS) {
+                               printf
+                                   ("%s: pmmAllocate: Maximum Number of allocatable areas reached (%d), cannot allocate more memory!\n",
+                                    __func__, MAX_PMM_AREAS);
+                               rval = 0;
+                               goto exit;
+                       }
+                       /* some ROMs seem to be confused by offset 0, so lets start at 0x100 */
+                       u32 next_offset = 0x100;
+                       pmm_allocation_t *pmm_alloc =
+                           &(pmm_allocation_array[curr_pmm_allocation_index]);
+                       if (curr_pmm_allocation_index != 0) {
+                               /* we have already allocated... get the new next_offset
+                                * from the previous pmm_allocation_t */
+                               next_offset =
+                                   pmm_allocation_array
+                                   [curr_pmm_allocation_index - 1].offset +
+                                   pmm_allocation_array
+                                   [curr_pmm_allocation_index - 1].length;
+                       }
+                       DEBUG_PRINTF_PMM("%s: next_offset: 0x%x\n",
+                                        __func__, next_offset);
+                       if (length == 0) {
+                               /* largest possible block size requested, we have on segment
+                                * to allocate, so largest possible is segment size (0xFFFF) 
+                                * minus next_offset
+                                */
+                               rval = 0xFFFF - next_offset;
+                               goto exit;
+                       }
+                       u32 align = 0;
+                       if (((flags & 0x4) != 0) && (length > 0)) {
+                               /* align to least significant bit set in length param */
+                               u8 lsb = 0;
+                               while (((length >> lsb) & 0x1) == 0) {
+                                       lsb++;
+                               }
+                               align = 1 << lsb;
+                       }
+                       /* always align at least to paragraph (16byte) boundary 
+                        * hm... since the length is always in paragraphs, we cannot
+                        * align outside of paragraphs anyway... so this check might
+                        * be unnecessary...*/
+                       if (align < 0x10) {
+                               align = 0x10;
+                       }
+                       DEBUG_PRINTF_PMM("%s: align: 0x%x\n", __func__,
+                                        align);
+                       if ((next_offset & (align - 1)) != 0) {
+                               /* not yet aligned... align! */
+                               next_offset += align;
+                               next_offset &= ~(align - 1);
+                       }
+                       if ((next_offset + length) > 0xFFFF) {
+                               rval = 0;
+                               printf
+                                   ("%s: pmmAllocate: Not enough memory available for allocation!\n",
+                                    __func__);
+                               goto exit;
+                       }
+                       curr_pmm_allocation_index++;
+                       /* remember the values in pmm_allocation_array */
+                       pmm_alloc->handle = handle;
+                       pmm_alloc->offset = next_offset;
+                       pmm_alloc->length = length;
+                       /* return the 32bit "physical" address, i.e. combination of segment and offset */
+                       rval = ((u32) (PMM_CONV_SEGMENT << 16)) | next_offset;
+                       DEBUG_PRINTF_PMM
+                           ("%s: pmmAllocate: allocated memory at %x\n",
+                            __func__, rval);
+               } else {
+                       rval = 0;
+                       printf
+                           ("%s: pmmAllocate: allocation in extended memory not supported!\n",
+                            __func__);
+               }
+               goto exit;
+       case 1:
+               /* function pmmFind */
+               handle = pop_long();    /* the handle to lookup */
+               DEBUG_PRINTF_PMM("%s: pmmFind: Handle: %x\n", __func__,
+                                handle);
+               i = 0;
+               for (i = 0; i < curr_pmm_allocation_index; i++) {
+                       if (pmm_allocation_array[i].handle == handle) {
+                               DEBUG_PRINTF_PMM
+                                   ("%s: pmmFind: found allocated memory at %x\n",
+                                    __func__, rval);
+                               /* return the 32bit "physical" address, i.e. combination of segment and offset */
+                               rval =
+                                   ((u32) (PMM_CONV_SEGMENT << 16)) |
+                                   pmm_allocation_array[i].offset;
+                       }
+               }
+               if (rval == 0) {
+                       DEBUG_PRINTF_PMM
+                           ("%s: pmmFind: handle (%x) not found!\n",
+                            __func__, handle);
+               }
+               goto exit;
+       case 2:
+               /* function pmmDeallocate */
+               buffer = pop_long();
+               /* since argument is the address of the PMM block (including the segment,
+                * we need to remove the segment to get the offset
+                */
+               buffer = buffer ^ ((u32) PMM_CONV_SEGMENT << 16);
+               DEBUG_PRINTF_PMM("%s: pmmDeallocate: PMM segment offset: %x\n",
+                                __func__, buffer);
+               i = 0;
+               /* rval = 0 means we deallocated the buffer, so set it to 1 in case we dont find it and
+                * thus cannot deallocate
+                */
+               rval = 1;
+               for (i = 0; i < curr_pmm_allocation_index; i++) {
+                       DEBUG_PRINTF_PMM("%d: %x\n", i,
+                                        pmm_allocation_array[i].handle);
+                       if (pmm_allocation_array[i].offset == buffer) {
+                               /* we found the requested buffer, rval = 0 */
+                               rval = 0;
+                               DEBUG_PRINTF_PMM
+                                   ("%s: pmmDeallocate: found allocated memory at index: %d\n",
+                                    __func__, i);
+                               /* copy the remaining elements in pmm_allocation_array one position up */
+                               j = i;
+                               for (; j < curr_pmm_allocation_index; j++) {
+                                       pmm_allocation_array[j] =
+                                           pmm_allocation_array[j + 1];
+                               }
+                               /* move curr_pmm_allocation_index one up, too */
+                               curr_pmm_allocation_index--;
+                               /* finally clean last element */
+                               pmm_allocation_array[curr_pmm_allocation_index].
+                                   handle = 0;
+                               pmm_allocation_array[curr_pmm_allocation_index].
+                                   offset = 0;
+                               pmm_allocation_array[curr_pmm_allocation_index].
+                                   length = 0;
+                               break;
+                       }
+               }
+               if (rval != 0) {
+                       DEBUG_PRINTF_PMM
+                           ("%s: pmmDeallocate: offset (%x) not found, cannot deallocate!\n",
+                            __func__, buffer);
+               }
+               goto exit;
+       default:
+               /* invalid/unimplemented function */
+               printf("%s: invalid PMM function (0x%04x) called!\n",
+                      __func__, function);
+               /* PMM spec says if function is invalid, return 0xFFFFFFFF */
+               rval = 0xFFFFFFFF;
+               goto exit;
+       }
+      exit:
+       /* exit handler of this function, restore registers, put return value in DX:AX */
+       M.x86 = backup_regs;
+       M.x86.R_DX = (u16) ((rval >> 16) & 0xFFFF);
+       M.x86.R_AX = (u16) (rval & 0xFFFF);
+       CHECK_DBG(DEBUG_PMM) {
+               DEBUG_PRINTF_PMM("%s: dump of pmm_allocation_array:\n",
+                                __func__);
+               for (i = 0; i < MAX_PMM_AREAS; i++) {
+                       DEBUG_PRINTF_PMM
+                           ("%d:\n\thandle: %x\n\toffset: %x\n\tlength: %x\n",
+                            i, pmm_allocation_array[i].handle,
+                            pmm_allocation_array[i].offset,
+                            pmm_allocation_array[i].length);
+               }
+       }
+       return;
+}
+
+/* This function tests the pmm_handleInt() function above. */
+void pmm_test(void)
+{
+       u32 handle, length, addr;
+       u16 function, flags;
+       /*-------------------- Test simple allocation/find/deallocation ----------------------------- */
+       function = 0;           /* pmmAllocate */
+       handle = 0xdeadbeef;
+       length = 16;            /* in 16byte paragraphs, so we allocate 256 bytes... */
+       flags = 0x1;            /* conventional memory, unaligned */
+       /* setup stack for call to pmm_handleInt() */
+       push_word(flags);
+       push_long(handle);
+       push_long(length);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
+       DEBUG_PRINTF_PMM("%s: allocated memory at: %04x:%04x\n", __func__,
+                        M.x86.R_DX, M.x86.R_AX);
+       function = 1;           /* pmmFind */
+       push_long(handle);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       DEBUG_PRINTF_PMM("%s: found memory at: %04x:%04x (expected: %08x)\n",
+                        __func__, M.x86.R_DX, M.x86.R_AX, addr);
+       function = 2;           /* pmmDeallocate */
+       push_long(addr);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       DEBUG_PRINTF_PMM
+           ("%s: freed memory rval: %04x:%04x (expected: 0000:0000)\n",
+            __func__, M.x86.R_DX, M.x86.R_AX);
+       /*-------------------- Test aligned allocation/deallocation ----------------------------- */
+       function = 0;           /* pmmAllocate */
+       handle = 0xdeadbeef;
+       length = 257;           /* in 16byte paragraphs, so we allocate 4KB + 16 bytes... */
+       flags = 0x1;            /* conventional memory, unaligned */
+       /* setup stack for call to pmm_handleInt() */
+       push_word(flags);
+       push_long(handle);
+       push_long(length);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
+       DEBUG_PRINTF_PMM("%s: allocated memory at: %04x:%04x\n", __func__,
+                        M.x86.R_DX, M.x86.R_AX);
+       function = 0;           /* pmmAllocate */
+       handle = 0xf00d4b0b;
+       length = 128;           /* in 16byte paragraphs, so we allocate 2KB... */
+       flags = 0x5;            /* conventional memory, aligned */
+       /* setup stack for call to pmm_handleInt() */
+       push_word(flags);
+       push_long(handle);
+       push_long(length);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       /* the address should be aligned to 0x800, so probably it is at offset 0x1800... */
+       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
+       DEBUG_PRINTF_PMM("%s: allocated memory at: %04x:%04x\n", __func__,
+                        M.x86.R_DX, M.x86.R_AX);
+       function = 1;           /* pmmFind */
+       push_long(handle);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
+       function = 2;           /* pmmDeallocate */
+       push_long(addr);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       DEBUG_PRINTF_PMM
+           ("%s: freed memory rval: %04x:%04x (expected: 0000:0000)\n",
+            __func__, M.x86.R_DX, M.x86.R_AX);
+       handle = 0xdeadbeef;
+       function = 1;           /* pmmFind */
+       push_long(handle);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
+       function = 2;           /* pmmDeallocate */
+       push_long(addr);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       DEBUG_PRINTF_PMM
+           ("%s: freed memory rval: %04x:%04x (expected: 0000:0000)\n",
+            __func__, M.x86.R_DX, M.x86.R_AX);
+       /*-------------------- Test out of memory allocation ----------------------------- */
+       function = 0;           /* pmmAllocate */
+       handle = 0xdeadbeef;
+       length = 0;             /* length zero means, give me the largest possible block */
+       flags = 0x1;            /* conventional memory, unaligned */
+       /* setup stack for call to pmm_handleInt() */
+       push_word(flags);
+       push_long(handle);
+       push_long(length);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       length = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
+       length /= 16;           /* length in paragraphs */
+       DEBUG_PRINTF_PMM("%s: largest possible length: %08x\n", __func__,
+                        length);
+       function = 0;           /* pmmAllocate */
+       flags = 0x1;            /* conventional memory, aligned */
+       /* setup stack for call to pmm_handleInt() */
+       push_word(flags);
+       push_long(handle);
+       push_long(length);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
+       DEBUG_PRINTF_PMM("%s: allocated memory at: %04x:%04x\n", __func__,
+                        M.x86.R_DX, M.x86.R_AX);
+       function = 0;           /* pmmAllocate */
+       length = 1;
+       handle = 0xf00d4b0b;
+       flags = 0x1;            /* conventional memory, aligned */
+       /* setup stack for call to pmm_handleInt() */
+       push_word(flags);
+       push_long(handle);
+       push_long(length);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       /* this should fail, so 0x0 should be returned */
+       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
+       DEBUG_PRINTF_PMM
+           ("%s: allocated memory at: %04x:%04x expected: 0000:0000\n",
+            __func__, M.x86.R_DX, M.x86.R_AX);
+       handle = 0xdeadbeef;
+       function = 1;           /* pmmFind */
+       push_long(handle);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
+       function = 2;           /* pmmDeallocate */
+       push_long(addr);
+       push_word(function);
+       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
+       pmm_handleInt();
+       DEBUG_PRINTF_PMM
+           ("%s: freed memory rval: %04x:%04x (expected: 0000:0000)\n",
+            __func__, M.x86.R_DX, M.x86.R_AX);
+}
diff --git a/src/devices/oprom/yabel/pmm.h b/src/devices/oprom/yabel/pmm.h
new file mode 100644 (file)
index 0000000..95645df
--- /dev/null
@@ -0,0 +1,46 @@
+/****************************************************************************
+ * YABEL BIOS Emulator
+ *
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Copyright (c) 2008 Pattrick Hueper <phueper@hueper.net>
+ ****************************************************************************/
+
+#ifndef _YABEL_PMM_H_
+#define _YABEL_PMM_H_
+
+#include <types.h>
+
+/* PMM Structure see PMM Spec Version 1.01 Chapter 3.1.1
+ * (search web for specspmm101.pdf)
+ */
+typedef struct {
+       u8 signature[4];
+       u8 struct_rev;
+       u8 length;
+       u8 checksum;
+       u32 entry_point_offset;
+       u8 reserved[5];
+       /* Code is not part of the speced PMM struct, however, since I cannot
+        * put the handling of PMM in the virtual memory (I dont want to hack it
+        * together in x86 assembly ;-)) this code array is pointed to by
+        * entry_point_offset, in code there is only a INT call and a RETF,
+        * thus every PMM call will issue a PMM INT (only defined in YABEL,
+        * see interrupt.c) and the INT Handler will do the actual PMM work.
+        */
+       u8 code[3];
+} __attribute__ ((__packed__)) pmm_information_t;
+
+/* This function is used to setup the PMM struct in virtual memory 
+ * at a certain offset */
+u8 pmm_setup(u16 segment, u16 offset);
+
+/* This is the INT Handler mentioned above, called by my special PMM INT. */
+void pmm_handleInt(void);
+
+void pmm_test(void);
+
+#endif                         // _YABEL_PMM_H
diff --git a/src/devices/oprom/yabel/vbe.c b/src/devices/oprom/yabel/vbe.c
new file mode 100644 (file)
index 0000000..6326a9c
--- /dev/null
@@ -0,0 +1,852 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * Copyright (c) 2009 Pattrick Hueper <phueper@hueper.net>
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#include <string.h>
+#include <types.h>
+#if CONFIG_BOOTSPLASH
+#include <boot/coreboot_tables.h>
+#endif
+
+#include <arch/byteorder.h>
+#define ntohl(x) be32_to_cpu(x)
+
+#include "debug.h"
+
+#include <x86emu/x86emu.h>
+#include <x86emu/regs.h>
+#include "../x86emu/prim_ops.h"
+
+#include "biosemu.h"
+#include "io.h"
+#include "mem.h"
+#include "interrupt.h"
+#include "device.h"
+
+#include <cbfs.h>
+
+#include <delay.h>
+#include "../../src/lib/jpeg.h"
+
+// pointer to VBEInfoBuffer, set by vbe_prepare
+u8 *vbe_info_buffer = 0;
+
+// virtual BIOS Memory
+u8 *biosmem;
+u32 biosmem_size;
+
+// these structs are for input from and output to OF
+typedef struct {
+       u8 display_type;        // 0=NONE, 1= analog, 2=digital
+       u16 screen_width;
+       u16 screen_height;
+       u16 screen_linebytes;   // bytes per line in framebuffer, may be more than screen_width
+       u8 color_depth; // color depth in bpp
+       u32 framebuffer_address;
+       u8 edid_block_zero[128];
+} __attribute__ ((__packed__)) screen_info_t;
+
+typedef struct {
+       u8 signature[4];
+       u16 size_reserved;
+       u8 monitor_number;
+       u16 max_screen_width;
+       u8 color_depth;
+} __attribute__ ((__packed__)) screen_info_input_t;
+
+// these structs only store a subset of the VBE defined fields
+// only those needed.
+typedef struct {
+       char signature[4];
+       u16 version;
+       u8 *oem_string_ptr;
+       u32 capabilities;
+       u16 video_mode_list[256];       // lets hope we never have more than 256 video modes...
+       u16 total_memory;
+} vbe_info_t;
+
+typedef struct {
+       u16 mode_attributes; // 00
+       u8 win_a_attributes; // 02
+       u8 win_b_attributes; // 03
+       u16 win_granularity; // 04
+       u16 win_size;        // 06
+       u16 win_a_segment;   // 08
+       u16 win_b_segment;   // 0a
+       u32 win_func_ptr;    // 0c
+       u16 bytes_per_scanline; // 10
+       u16 x_resolution;    // 12
+       u16 y_resolution;    // 14
+       u8 x_charsize;       // 16
+       u8 y_charsize;       // 17
+       u8 number_of_planes; // 18
+       u8 bits_per_pixel;   // 19
+       u8 number_of_banks;  // 20
+       u8 memory_model;     // 21
+       u8 bank_size;        // 22
+       u8 number_of_image_pages; // 23
+       u8 reserved_page;
+       u8 red_mask_size;
+       u8 red_mask_pos;
+       u8 green_mask_size;
+       u8 green_mask_pos;
+       u8 blue_mask_size;
+       u8 blue_mask_pos;
+       u8 reserved_mask_size;
+       u8 reserved_mask_pos;
+       u8 direct_color_mode_info;
+       u32 phys_base_ptr;
+       u32 offscreen_mem_offset;
+       u16 offscreen_mem_size;
+       u8 reserved[206];
+} __attribute__ ((__packed__)) vesa_mode_info_t;
+
+typedef struct {
+       u16 video_mode;
+       union {
+               vesa_mode_info_t vesa;
+               u8 mode_info_block[256];
+       };
+       // our crap
+       //u16 attributes;
+       //u16 linebytes;
+       //u16 x_resolution;
+       //u16 y_resolution;
+       //u8 x_charsize;
+       //u8 y_charsize;
+       //u8 bits_per_pixel;
+       //u8 memory_model;
+       //u32 framebuffer_address;
+} vbe_mode_info_t;
+
+typedef struct {
+       u8 port_number; // i.e. monitor number
+       u8 edid_transfer_time;
+       u8 ddc_level;
+       u8 edid_block_zero[128];
+} vbe_ddc_info_t;
+
+static inline u8
+vbe_prepare(void)
+{
+       vbe_info_buffer = biosmem + (VBE_SEGMENT << 4); // segment:offset off VBE Data Area
+       //clear buffer
+       memset(vbe_info_buffer, 0, 512);
+       //set VbeSignature to "VBE2" to indicate VBE 2.0+ request
+       vbe_info_buffer[0] = 'V';
+       vbe_info_buffer[0] = 'B';
+       vbe_info_buffer[0] = 'E';
+       vbe_info_buffer[0] = '2';
+       // ES:DI store pointer to buffer in virtual mem see vbe_info_buffer above...
+       M.x86.R_EDI = 0x0;
+       M.x86.R_ES = VBE_SEGMENT;
+
+       return 0;               // successfull init
+}
+
+// VBE Function 00h
+static u8
+vbe_info(vbe_info_t * info)
+{
+       vbe_prepare();
+       // call VBE function 00h (Info Function)
+       M.x86.R_EAX = 0x4f00;
+
+       // enable trace
+       CHECK_DBG(DEBUG_TRACE_X86EMU) {
+               X86EMU_trace_on();
+       }
+       // run VESA Interrupt
+       runInt10();
+
+       if (M.x86.R_AL != 0x4f) {
+               DEBUG_PRINTF_VBE("%s: VBE Info Function NOT supported! AL=%x\n",
+                                __func__, M.x86.R_AL);
+               return -1;
+       }
+
+       if (M.x86.R_AH != 0x0) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Info Function Return Code NOT OK! AH=%x\n",
+                    __func__, M.x86.R_AH);
+               return M.x86.R_AH;
+       }
+       //printf("VBE Info Dump:");
+       //dump(vbe_info_buffer, 64);
+
+       //offset 0: signature
+       info->signature[0] = vbe_info_buffer[0];
+       info->signature[1] = vbe_info_buffer[1];
+       info->signature[2] = vbe_info_buffer[2];
+       info->signature[3] = vbe_info_buffer[3];
+
+       // offset 4: 16bit le containing VbeVersion
+       info->version = in16le(vbe_info_buffer + 4);
+
+       // offset 6: 32bit le containg segment:offset of OEM String in virtual Mem.
+       info->oem_string_ptr =
+           biosmem + ((in16le(vbe_info_buffer + 8) << 4) +
+                      in16le(vbe_info_buffer + 6));
+
+       // offset 10: 32bit le capabilities
+       info->capabilities = in32le(vbe_info_buffer + 10);
+
+       // offset 14: 32 bit le containing segment:offset of supported video mode table
+       u16 *video_mode_ptr;
+       video_mode_ptr =
+           (u16 *) (biosmem +
+                         ((in16le(vbe_info_buffer + 16) << 4) +
+                          in16le(vbe_info_buffer + 14)));
+       u32 i = 0;
+       do {
+               info->video_mode_list[i] = in16le(video_mode_ptr + i);
+               i++;
+       }
+       while ((i <
+               (sizeof(info->video_mode_list) /
+                sizeof(info->video_mode_list[0])))
+              && (info->video_mode_list[i - 1] != 0xFFFF));
+
+       //offset 18: 16bit le total memory in 64KB blocks
+       info->total_memory = in16le(vbe_info_buffer + 18);
+
+       return 0;
+}
+
+// VBE Function 01h
+static u8
+vbe_get_mode_info(vbe_mode_info_t * mode_info)
+{
+       vbe_prepare();
+       // call VBE function 01h (Return VBE Mode Info Function)
+       M.x86.R_EAX = 0x4f01;
+       M.x86.R_CX = mode_info->video_mode;
+
+       // enable trace
+       CHECK_DBG(DEBUG_TRACE_X86EMU) {
+               X86EMU_trace_on();
+       }
+       // run VESA Interrupt
+       runInt10();
+
+       if (M.x86.R_AL != 0x4f) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Return Mode Info Function NOT supported! AL=%x\n",
+                    __func__, M.x86.R_AL);
+               return -1;
+       }
+
+       if (M.x86.R_AH != 0x0) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Return Mode Info (mode: %04x) Function Return Code NOT OK! AH=%02x\n",
+                    __func__, mode_info->video_mode, M.x86.R_AH);
+               return M.x86.R_AH;
+       }
+
+       //pointer to mode_info_block is in ES:DI
+       memcpy(mode_info->mode_info_block,
+              biosmem + ((M.x86.R_ES << 4) + M.x86.R_DI),
+              sizeof(mode_info->mode_info_block));
+
+       //printf("Mode Info Dump:");
+       //dump(mode_info_block, 64);
+
+       return 0;
+}
+
+// VBE Function 02h
+static u8
+vbe_set_mode(vbe_mode_info_t * mode_info)
+{
+       vbe_prepare();
+       // call VBE function 02h (Set VBE Mode Function)
+       M.x86.R_EAX = 0x4f02;
+       M.x86.R_BX = mode_info->video_mode;
+       M.x86.R_BX |= 0x4000;   // set bit 14 to request linear framebuffer mode
+       M.x86.R_BX &= 0x7FFF;   // clear bit 15 to request clearing of framebuffer
+
+       DEBUG_PRINTF_VBE("%s: setting mode: 0x%04x\n", __func__,
+                        M.x86.R_BX);
+
+       // enable trace
+       CHECK_DBG(DEBUG_TRACE_X86EMU) {
+               X86EMU_trace_on();
+       }
+       // run VESA Interrupt
+       runInt10();
+
+       if (M.x86.R_AL != 0x4f) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Set Mode Function NOT supported! AL=%x\n",
+                    __func__, M.x86.R_AL);
+               return -1;
+       }
+
+       if (M.x86.R_AH != 0x0) {
+               DEBUG_PRINTF_VBE
+                   ("%s: mode: %x VBE Set Mode Function Return Code NOT OK! AH=%x\n",
+                    __func__, mode_info->video_mode, M.x86.R_AH);
+               return M.x86.R_AH;
+       }
+       return 0;
+}
+
+//VBE Function 08h
+static u8
+vbe_set_palette_format(u8 format)
+{
+       vbe_prepare();
+       // call VBE function 09h (Set/Get Palette Data Function)
+       M.x86.R_EAX = 0x4f08;
+       M.x86.R_BL = 0x00;      // set format
+       M.x86.R_BH = format;
+
+       DEBUG_PRINTF_VBE("%s: setting palette format: %d\n", __func__,
+                        format);
+
+       // enable trace
+       CHECK_DBG(DEBUG_TRACE_X86EMU) {
+               X86EMU_trace_on();
+       }
+       // run VESA Interrupt
+       runInt10();
+
+       if (M.x86.R_AL != 0x4f) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Set Palette Format Function NOT supported! AL=%x\n",
+                    __func__, M.x86.R_AL);
+               return -1;
+       }
+
+       if (M.x86.R_AH != 0x0) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Set Palette Format Function Return Code NOT OK! AH=%x\n",
+                    __func__, M.x86.R_AH);
+               return M.x86.R_AH;
+       }
+       return 0;
+}
+
+// VBE Function 09h
+static u8
+vbe_set_color(u16 color_number, u32 color_value)
+{
+       vbe_prepare();
+       // call VBE function 09h (Set/Get Palette Data Function)
+       M.x86.R_EAX = 0x4f09;
+       M.x86.R_BL = 0x00;      // set color
+       M.x86.R_CX = 0x01;      // set only one entry
+       M.x86.R_DX = color_number;
+       // ES:DI is address where color_value is stored, we store it at 2000:0000
+       M.x86.R_ES = 0x2000;
+       M.x86.R_DI = 0x0;
+
+       // store color value at ES:DI
+       out32le(biosmem + (M.x86.R_ES << 4) + M.x86.R_DI, color_value);
+
+       DEBUG_PRINTF_VBE("%s: setting color #%x: 0x%04x\n", __func__,
+                        color_number, color_value);
+
+       // enable trace
+       CHECK_DBG(DEBUG_TRACE_X86EMU) {
+               X86EMU_trace_on();
+       }
+       // run VESA Interrupt
+       runInt10();
+
+       if (M.x86.R_AL != 0x4f) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Set Palette Function NOT supported! AL=%x\n",
+                    __func__, M.x86.R_AL);
+               return -1;
+       }
+
+       if (M.x86.R_AH != 0x0) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Set Palette Function Return Code NOT OK! AH=%x\n",
+                    __func__, M.x86.R_AH);
+               return M.x86.R_AH;
+       }
+       return 0;
+}
+
+static u8
+vbe_get_color(u16 color_number, u32 * color_value)
+{
+       vbe_prepare();
+       // call VBE function 09h (Set/Get Palette Data Function)
+       M.x86.R_EAX = 0x4f09;
+       M.x86.R_BL = 0x00;      // get color
+       M.x86.R_CX = 0x01;      // get only one entry
+       M.x86.R_DX = color_number;
+       // ES:DI is address where color_value is stored, we store it at 2000:0000
+       M.x86.R_ES = 0x2000;
+       M.x86.R_DI = 0x0;
+
+       // enable trace
+       CHECK_DBG(DEBUG_TRACE_X86EMU) {
+               X86EMU_trace_on();
+       }
+       // run VESA Interrupt
+       runInt10();
+
+       if (M.x86.R_AL != 0x4f) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Set Palette Function NOT supported! AL=%x\n",
+                    __func__, M.x86.R_AL);
+               return -1;
+       }
+
+       if (M.x86.R_AH != 0x0) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Set Palette Function Return Code NOT OK! AH=%x\n",
+                    __func__, M.x86.R_AH);
+               return M.x86.R_AH;
+       }
+       // read color value from ES:DI
+       *color_value = in32le(biosmem + (M.x86.R_ES << 4) + M.x86.R_DI);
+
+       DEBUG_PRINTF_VBE("%s: getting color #%x --> 0x%04x\n", __func__,
+                        color_number, *color_value);
+
+       return 0;
+}
+
+// VBE Function 15h
+static u8
+vbe_get_ddc_info(vbe_ddc_info_t * ddc_info)
+{
+       vbe_prepare();
+       // call VBE function 15h (DDC Info Function)
+       M.x86.R_EAX = 0x4f15;
+       M.x86.R_BL = 0x00;      // get DDC Info
+       M.x86.R_CX = ddc_info->port_number;
+       M.x86.R_ES = 0x0;
+       M.x86.R_DI = 0x0;
+
+       // enable trace
+       CHECK_DBG(DEBUG_TRACE_X86EMU) {
+               X86EMU_trace_on();
+       }
+       // run VESA Interrupt
+       runInt10();
+
+       if (M.x86.R_AL != 0x4f) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Get DDC Info Function NOT supported! AL=%x\n",
+                    __func__, M.x86.R_AL);
+               return -1;
+       }
+
+       if (M.x86.R_AH != 0x0) {
+               DEBUG_PRINTF_VBE
+                   ("%s: port: %x VBE Get DDC Info Function Return Code NOT OK! AH=%x\n",
+                    __func__, ddc_info->port_number, M.x86.R_AH);
+               return M.x86.R_AH;
+       }
+       // BH = approx. time in seconds to transfer one EDID block
+       ddc_info->edid_transfer_time = M.x86.R_BH;
+       // BL = DDC Level
+       ddc_info->ddc_level = M.x86.R_BL;
+
+       vbe_prepare();
+       // call VBE function 15h (DDC Info Function)
+       M.x86.R_EAX = 0x4f15;
+       M.x86.R_BL = 0x01;      // read EDID
+       M.x86.R_CX = ddc_info->port_number;
+       M.x86.R_DX = 0x0;       // block number
+       // ES:DI is address where EDID is stored, we store it at 2000:0000
+       M.x86.R_ES = 0x2000;
+       M.x86.R_DI = 0x0;
+
+       // enable trace
+       CHECK_DBG(DEBUG_TRACE_X86EMU) {
+               X86EMU_trace_on();
+       }
+       // run VESA Interrupt
+       runInt10();
+
+       if (M.x86.R_AL != 0x4f) {
+               DEBUG_PRINTF_VBE
+                   ("%s: VBE Read EDID Function NOT supported! AL=%x\n",
+                    __func__, M.x86.R_AL);
+               return -1;
+       }
+
+       if (M.x86.R_AH != 0x0) {
+               DEBUG_PRINTF_VBE
+                   ("%s: port: %x VBE Read EDID Function Return Code NOT OK! AH=%x\n",
+                    __func__, ddc_info->port_number, M.x86.R_AH);
+               return M.x86.R_AH;
+       }
+
+       memcpy(ddc_info->edid_block_zero,
+              biosmem + (M.x86.R_ES << 4) + M.x86.R_DI,
+              sizeof(ddc_info->edid_block_zero));
+
+       return 0;
+}
+
+static u32
+vbe_get_info(void)
+{
+       u8 rval;
+       int i;
+
+       // XXX FIXME these need to be filled with sane values
+
+       // get a copy of input struct...
+       screen_info_input_t input;
+       // output is pointer to the address passed as argv[4]
+       screen_info_t local_output;
+       screen_info_t *output = &local_output;
+       // zero input
+       memset(&input, 0, sizeof(screen_info_input_t));
+       // zero output
+       memset(&output, 0, sizeof(screen_info_t));
+
+       vbe_info_t info;
+       rval = vbe_info(&info);
+       if (rval != 0)
+               return rval;
+
+       DEBUG_PRINTF_VBE("VbeSignature: %s\n", info.signature);
+       DEBUG_PRINTF_VBE("VbeVersion: 0x%04x\n", info.version);
+       DEBUG_PRINTF_VBE("OemString: %s\n", info.oem_string_ptr);
+       DEBUG_PRINTF_VBE("Capabilities:\n");
+       DEBUG_PRINTF_VBE("\tDAC: %s\n",
+                        (info.capabilities & 0x1) ==
+                        0 ? "fixed 6bit" : "switchable 6/8bit");
+       DEBUG_PRINTF_VBE("\tVGA: %s\n",
+                        (info.capabilities & 0x2) ==
+                        0 ? "compatible" : "not compatible");
+       DEBUG_PRINTF_VBE("\tRAMDAC: %s\n",
+                        (info.capabilities & 0x4) ==
+                        0 ? "normal" : "use blank bit in Function 09h");
+
+       // argv[4] may be a pointer with enough space to return screen_info_t
+       // as input, it must contain a screen_info_input_t with the following content:
+       // byte[0:3] = "DDC\0" (zero-terminated signature header)
+       // byte[4:5] = reserved space for the return struct... just in case we ever change
+       //             the struct and dont have reserved enough memory (and let's hope the struct
+       //             never gets larger than 64KB)
+       // byte[6] = monitor port number for DDC requests ("only" one byte... so lets hope we never have more than 255 monitors...
+       // byte[7:8] = max. screen width (OF may want to limit this)
+       // byte[9] = required color depth in bpp
+       if (strncmp((char *) input.signature, "DDC", 4) != 0) {
+               printf
+                   ("%s: Invalid input signature! expected: %s, is: %s\n",
+                    __func__, "DDC", input.signature);
+               return -1;
+       }
+       if (input.size_reserved != sizeof(screen_info_t)) {
+               printf
+                   ("%s: Size of return struct is wrong, required: %d, available: %d\n",
+                    __func__, (int) sizeof(screen_info_t),
+                    input.size_reserved);
+               return -1;
+       }
+
+       vbe_ddc_info_t ddc_info;
+       ddc_info.port_number = input.monitor_number;
+       vbe_get_ddc_info(&ddc_info);
+
+#if 0
+       DEBUG_PRINTF_VBE("DDC: edid_tranfer_time: %d\n",
+                        ddc_info.edid_transfer_time);
+       DEBUG_PRINTF_VBE("DDC: ddc_level: %x\n", ddc_info.ddc_level);
+       DEBUG_PRINTF_VBE("DDC: EDID: \n");
+       CHECK_DBG(DEBUG_VBE) {
+               dump(ddc_info.edid_block_zero,
+                    sizeof(ddc_info.edid_block_zero));
+       }
+#endif
+/* This could fail because of alignment issues, so use a longer form.
+       *((u64 *) ddc_info.edid_block_zero) != (u64) 0x00FFFFFFFFFFFF00ULL
+*/
+       if (ddc_info.edid_block_zero[0] != 0x00 ||
+           ddc_info.edid_block_zero[1] != 0xFF ||
+           ddc_info.edid_block_zero[2] != 0xFF ||
+           ddc_info.edid_block_zero[3] != 0xFF ||
+           ddc_info.edid_block_zero[4] != 0xFF ||
+           ddc_info.edid_block_zero[5] != 0xFF ||
+           ddc_info.edid_block_zero[6] != 0xFF ||
+           ddc_info.edid_block_zero[7] != 0x00 ) {
+               // invalid EDID signature... probably no monitor
+
+               output->display_type = 0x0;
+               return 0;
+       } else if ((ddc_info.edid_block_zero[20] & 0x80) != 0) {
+               // digital display
+               output->display_type = 2;
+       } else {
+               // analog
+               output->display_type = 1;
+       }
+       DEBUG_PRINTF_VBE("DDC: found display type %d\n", output->display_type);
+       memcpy(output->edid_block_zero, ddc_info.edid_block_zero,
+              sizeof(ddc_info.edid_block_zero));
+       i = 0;
+       vbe_mode_info_t mode_info;
+       vbe_mode_info_t best_mode_info;
+       // initialize best_mode to 0
+       memset(&best_mode_info, 0, sizeof(best_mode_info));
+       while ((mode_info.video_mode = info.video_mode_list[i]) != 0xFFFF) {
+               //DEBUG_PRINTF_VBE("%x: Mode: %04x\n", i, mode_info.video_mode);
+               vbe_get_mode_info(&mode_info);
+
+               // FIXME all these values are little endian!
+
+               DEBUG_PRINTF_VBE("Video Mode 0x%04x available, %s\n",
+                                mode_info.video_mode,
+                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x1) ==
+                                0 ? "not supported" : "supported");
+               DEBUG_PRINTF_VBE("\tTTY: %s\n",
+                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x4) ==
+                                0 ? "no" : "yes");
+               DEBUG_PRINTF_VBE("\tMode: %s %s\n",
+                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x8) ==
+                                0 ? "monochrome" : "color",
+                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x10) ==
+                                0 ? "text" : "graphics");
+               DEBUG_PRINTF_VBE("\tVGA: %s\n",
+                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x20) ==
+                                0 ? "compatible" : "not compatible");
+               DEBUG_PRINTF_VBE("\tWindowed Mode: %s\n",
+                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x40) ==
+                                0 ? "yes" : "no");
+               DEBUG_PRINTF_VBE("\tFramebuffer: %s\n",
+                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x80) ==
+                                0 ? "no" : "yes");
+               DEBUG_PRINTF_VBE("\tResolution: %dx%d\n",
+                                le16_to_cpu(mode_info.vesa.x_resolution),
+                                le16_to_cpu(mode_info.vesa.y_resolution));
+               DEBUG_PRINTF_VBE("\tChar Size: %dx%d\n",
+                                mode_info.vesa.x_charsize, mode_info.vesa.y_charsize);
+               DEBUG_PRINTF_VBE("\tColor Depth: %dbpp\n",
+                                mode_info.vesa.bits_per_pixel);
+               DEBUG_PRINTF_VBE("\tMemory Model: 0x%x\n",
+                                mode_info.vesa.memory_model);
+               DEBUG_PRINTF_VBE("\tFramebuffer Offset: %08x\n",
+                                le32_to_cpu(mode_info.vesa.phys_base_ptr));
+
+               if ((mode_info.vesa.bits_per_pixel == input.color_depth)
+                   && (le16_to_cpu(mode_info.vesa.x_resolution) <= input.max_screen_width)
+                   && ((le16_to_cpu(mode_info.vesa.mode_attributes) & 0x80) != 0)      // framebuffer mode
+                   && ((le16_to_cpu(mode_info.vesa.mode_attributes) & 0x10) != 0)      // graphics
+                   && ((le16_to_cpu(mode_info.vesa.mode_attributes) & 0x8) != 0)       // color
+                   && (le16_to_cpu(mode_info.vesa.x_resolution) > le16_to_cpu(best_mode_info.vesa.x_resolution)))      // better than previous best_mode
+               {
+                       // yiiiihaah... we found a new best mode
+                       memcpy(&best_mode_info, &mode_info, sizeof(mode_info));
+               }
+               i++;
+       }
+
+       if (best_mode_info.video_mode != 0) {
+               DEBUG_PRINTF_VBE
+                   ("Best Video Mode found: 0x%x, %dx%d, %dbpp, framebuffer_address: 0x%x\n",
+                    best_mode_info.video_mode,
+                    best_mode_info.vesa.x_resolution,
+                    best_mode_info.vesa.y_resolution,
+                    best_mode_info.vesa.bits_per_pixel,
+                    le32_to_cpu(best_mode_info.vesa.phys_base_ptr));
+
+               //printf("Mode Info Dump:");
+               //dump(best_mode_info.mode_info_block, 64);
+
+               // set the video mode
+               vbe_set_mode(&best_mode_info);
+
+               if ((info.capabilities & 0x1) != 0) {
+                       // switch to 8 bit palette format
+                       vbe_set_palette_format(8);
+               }
+               // setup a palette:
+               // - first 216 colors are mixed colors for each component in 6 steps
+               //   (6*6*6=216)
+               // - then 10 shades of the three primary colors
+               // - then 10 shades of grey
+               // -------
+               // = 256 colors
+               //
+               // - finally black is color 0 and white color FF (because SLOF expects it
+               //   this way...)
+               // this resembles the palette that the kernel/X Server seems to expect...
+
+               u8 mixed_color_values[6] =
+                   { 0xFF, 0xDA, 0xB3, 0x87, 0x54, 0x00 };
+               u8 primary_color_values[10] =
+                   { 0xF3, 0xE7, 0xCD, 0xC0, 0xA5, 0x96, 0x77, 0x66, 0x3F,
+                       0x27
+               };
+               u8 mc_size = sizeof(mixed_color_values);
+               u8 prim_size = sizeof(primary_color_values);
+
+               u8 curr_color_index;
+               u32 curr_color;
+
+               u8 r, g, b;
+               // 216 mixed colors
+               for (r = 0; r < mc_size; r++) {
+                       for (g = 0; g < mc_size; g++) {
+                               for (b = 0; b < mc_size; b++) {
+                                       curr_color_index =
+                                           (r * mc_size * mc_size) +
+                                           (g * mc_size) + b;
+                                       curr_color = 0;
+                                       curr_color |= ((u32) mixed_color_values[r]) << 16;      //red value
+                                       curr_color |= ((u32) mixed_color_values[g]) << 8;       //green value
+                                       curr_color |= (u32) mixed_color_values[b];      //blue value
+                                       vbe_set_color(curr_color_index,
+                                                     curr_color);
+                               }
+                       }
+               }
+
+               // 10 shades of each primary color
+               // red
+               for (r = 0; r < prim_size; r++) {
+                       curr_color_index = mc_size * mc_size * mc_size + r;
+                       curr_color = ((u32) primary_color_values[r]) << 16;
+                       vbe_set_color(curr_color_index, curr_color);
+               }
+               //green
+               for (g = 0; g < prim_size; g++) {
+                       curr_color_index =
+                           mc_size * mc_size * mc_size + prim_size + g;
+                       curr_color = ((u32) primary_color_values[g]) << 8;
+                       vbe_set_color(curr_color_index, curr_color);
+               }
+               //blue
+               for (b = 0; b < prim_size; b++) {
+                       curr_color_index =
+                           mc_size * mc_size * mc_size + prim_size * 2 + b;
+                       curr_color = (u32) primary_color_values[b];
+                       vbe_set_color(curr_color_index, curr_color);
+               }
+               // 10 shades of grey
+               for (i = 0; i < prim_size; i++) {
+                       curr_color_index =
+                           mc_size * mc_size * mc_size + prim_size * 3 + i;
+                       curr_color = 0;
+                       curr_color |= ((u32) primary_color_values[i]) << 16;    //red
+                       curr_color |= ((u32) primary_color_values[i]) << 8;     //green
+                       curr_color |= ((u32) primary_color_values[i]);  //blue
+                       vbe_set_color(curr_color_index, curr_color);
+               }
+
+               // SLOF is using color 0x0 (black) and 0xFF (white) to draw to the screen...
+               vbe_set_color(0x00, 0x00000000);
+               vbe_set_color(0xFF, 0x00FFFFFF);
+
+               output->screen_width = le16_to_cpu(best_mode_info.vesa.x_resolution);
+               output->screen_height = le16_to_cpu(best_mode_info.vesa.y_resolution);
+               output->screen_linebytes = le16_to_cpu(best_mode_info.vesa.bytes_per_scanline);
+               output->color_depth = best_mode_info.vesa.bits_per_pixel;
+               output->framebuffer_address =
+                   le32_to_cpu(best_mode_info.vesa.phys_base_ptr);
+       } else {
+               printf("%s: No suitable video mode found!\n", __func__);
+               //unset display_type...
+               output->display_type = 0;
+       }
+       return 0;
+}
+
+#if CONFIG_BOOTSPLASH
+vbe_mode_info_t mode_info;
+
+void vbe_set_graphics(void)
+{
+       u8 rval;
+       int i;
+
+       vbe_info_t info;
+       rval = vbe_info(&info);
+       if (rval != 0)
+               return;
+
+       DEBUG_PRINTF_VBE("VbeSignature: %s\n", info.signature);
+       DEBUG_PRINTF_VBE("VbeVersion: 0x%04x\n", info.version);
+       DEBUG_PRINTF_VBE("OemString: %s\n", info.oem_string_ptr);
+       DEBUG_PRINTF_VBE("Capabilities:\n");
+       DEBUG_PRINTF_VBE("\tDAC: %s\n",
+                        (info.capabilities & 0x1) ==
+                        0 ? "fixed 6bit" : "switchable 6/8bit");
+       DEBUG_PRINTF_VBE("\tVGA: %s\n",
+                        (info.capabilities & 0x2) ==
+                        0 ? "compatible" : "not compatible");
+       DEBUG_PRINTF_VBE("\tRAMDAC: %s\n",
+                        (info.capabilities & 0x4) ==
+                        0 ? "normal" : "use blank bit in Function 09h");
+
+       mode_info.video_mode = (1 << 14) | CONFIG_FRAMEBUFFER_VESA_MODE;
+       vbe_get_mode_info(&mode_info);
+       unsigned char *framebuffer = 
+               (unsigned char *) le32_to_cpu(mode_info.vesa.phys_base_ptr);
+       DEBUG_PRINTF_VBE("FRAMEBUFFER: 0x%08x\n", framebuffer);
+       vbe_set_mode(&mode_info);
+
+       struct jpeg_decdata *decdata;
+       decdata = malloc(sizeof(*decdata));
+
+       /* Switching Intel IGD to 1MB video memory will break this. Who
+        * cares. */
+       int imagesize = 1024*768*2;
+       
+       unsigned char *jpeg = cbfs_find_file("bootsplash.jpg", CBFS_TYPE_BOOTSPLASH);
+       if (!jpeg) { 
+               DEBUG_PRINTF_VBE("Could not find bootsplash.jpg\n");
+               return;
+       }
+       DEBUG_PRINTF_VBE("Splash at %08x ...\n", jpeg);
+       dump(jpeg, 64);
+
+       int ret = 0;
+       DEBUG_PRINTF_VBE("Decompressing boot splash screen...\n");
+       ret = jpeg_decode(jpeg, framebuffer, 1024, 768, 16, decdata);
+       DEBUG_PRINTF_VBE("returns %x\n", ret);
+}
+
+void fill_lb_framebuffer(struct lb_framebuffer *framebuffer)
+{
+       framebuffer->physical_address = le32_to_cpu(mode_info.vesa.phys_base_ptr);
+
+       framebuffer->x_resolution = le16_to_cpu(mode_info.vesa.x_resolution);
+       framebuffer->y_resolution = le16_to_cpu(mode_info.vesa.y_resolution);
+       framebuffer->bytes_per_line = le16_to_cpu(mode_info.vesa.bytes_per_scanline);
+       framebuffer->bits_per_pixel = mode_info.vesa.bits_per_pixel;
+
+       framebuffer->red_mask_pos = mode_info.vesa.red_mask_pos;
+       framebuffer->red_mask_size = mode_info.vesa.red_mask_size;
+
+       framebuffer->green_mask_pos = mode_info.vesa.green_mask_pos;
+       framebuffer->green_mask_size = mode_info.vesa.green_mask_size;
+
+       framebuffer->blue_mask_pos = mode_info.vesa.blue_mask_pos;
+       framebuffer->blue_mask_size = mode_info.vesa.blue_mask_size;
+
+       framebuffer->reserved_mask_pos = mode_info.vesa.reserved_mask_pos;
+       framebuffer->reserved_mask_size = mode_info.vesa.reserved_mask_size;
+}
+
+void vbe_textmode_console(void)
+{
+       /* Wait, just a little bit more, pleeeease ;-) */
+       delay(2);
+
+       M.x86.R_EAX = 0x0003;
+       runInt10();
+}
+
+#endif
diff --git a/src/devices/oprom/yabel/vbe.h b/src/devices/oprom/yabel/vbe.h
new file mode 100644 (file)
index 0000000..07daedb
--- /dev/null
@@ -0,0 +1,16 @@
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ *     IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#ifndef _BIOSEMU_VBE_H_
+#define _BIOSEMU_VBE_H_
+
+#endif
diff --git a/util/x86emu/Makefile.inc b/util/x86emu/Makefile.inc
deleted file mode 100644 (file)
index 3d5f1a6..0000000
+++ /dev/null
@@ -1,25 +0,0 @@
-##
-## This file is part of the coreboot project.
-##
-## Copyright (C) 2007-2010 coresystems GmbH
-##
-## This program is free software; you can redistribute it and/or modify
-## it under the terms of the GNU General Public License as published by
-## the Free Software Foundation; version 2 of the License.
-##
-## This program is distributed in the hope that it will be useful,
-## but WITHOUT ANY WARRANTY; without even the implied warranty of
-## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
-## GNU General Public License for more details.
-##
-## You should have received a copy of the GNU General Public License
-## along with this program; if not, write to the Free Software
-## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA  02110-1301 USA
-##
-
-obj-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86.o
-obj-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86_asm.o
-obj-$(CONFIG_PCI_OPTION_ROM_RUN_REALMODE) += x86_interrupts.o
-
-subdirs-$(CONFIG_PCI_OPTION_ROM_RUN_YABEL) += x86emu
-subdirs-$(CONFIG_PCI_OPTION_ROM_RUN_YABEL) += yabel
diff --git a/util/x86emu/include/x86emu/fpu_regs.h b/util/x86emu/include/x86emu/fpu_regs.h
deleted file mode 100644 (file)
index 7c7df85..0000000
+++ /dev/null
@@ -1,115 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  Header file for FPU register definitions.
-*
-****************************************************************************/
-
-#ifndef __X86EMU_FPU_REGS_H
-#define __X86EMU_FPU_REGS_H
-
-#ifdef X86_FPU_SUPPORT
-
-#pragma        pack(1)
-
-/* Basic 8087 register can hold any of the following values: */
-
-union x86_fpu_reg_u {
-    s8                  tenbytes[10];
-    double              dval;
-    float               fval;
-    s16                 sval;
-    s32                 lval;
-       };
-
-struct x86_fpu_reg {
-       union x86_fpu_reg_u reg;
-       char                tag;
-       };
-
-/*
- * Since we are not going to worry about the problems of aliasing
- * registers, every time a register is modified, its result type is
- * set in the tag fields for that register.  If some operation
- * attempts to access the type in a way inconsistent with its current
- * storage format, then we flag the operation.  If common, we'll
- * attempt the conversion.
- */
-
-#define  X86_FPU_VALID          0x80
-#define  X86_FPU_REGTYP(r)      ((r) & 0x7F)
-
-#define  X86_FPU_WORD           0x0
-#define  X86_FPU_SHORT          0x1
-#define  X86_FPU_LONG           0x2
-#define  X86_FPU_FLOAT          0x3
-#define  X86_FPU_DOUBLE         0x4
-#define  X86_FPU_LDBL           0x5
-#define  X86_FPU_BSD            0x6
-
-#define  X86_FPU_STKTOP  0
-
-struct x86_fpu_registers {
-    struct x86_fpu_reg  x86_fpu_stack[8];
-    int                 x86_fpu_flags;
-    int                 x86_fpu_config;         /* rounding modes, etc. */
-    short               x86_fpu_tos, x86_fpu_bos;
-       };
-
-#pragma        pack()
-
-/*
- * There are two versions of the following macro.
- *
- * One version is for opcode D9, for which there are more than 32
- * instructions encoded in the second byte of the opcode.
- *
- * The other version, deals with all the other 7 i87 opcodes, for
- * which there are only 32 strings needed to describe the
- * instructions.
- */
-
-#endif /* X86_FPU_SUPPORT */
-
-#if CONFIG_X86EMU_DEBUG
-# define DECODE_PRINTINSTR32(t,mod,rh,rl)      \
-       DECODE_PRINTF(t[(mod<<3)+(rh)]);
-# define DECODE_PRINTINSTR256(t,mod,rh,rl)     \
-       DECODE_PRINTF(t[(mod<<6)+(rh<<3)+(rl)]);
-#else
-# define DECODE_PRINTINSTR32(t,mod,rh,rl)
-# define DECODE_PRINTINSTR256(t,mod,rh,rl)
-#endif
-
-#endif /* __X86EMU_FPU_REGS_H */
diff --git a/util/x86emu/include/x86emu/regs.h b/util/x86emu/include/x86emu/regs.h
deleted file mode 100644 (file)
index 516b2ea..0000000
+++ /dev/null
@@ -1,372 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  Header file for x86 register definitions.
-*
-****************************************************************************/
-/* $XFree86: xc/extras/x86emu/include/x86emu/regs.h,v 1.3 2001/10/28 03:32:25 tsi Exp $ */
-
-#ifndef __X86EMU_REGS_H
-#define __X86EMU_REGS_H
-
-/*---------------------- Macros and type definitions ----------------------*/
-
-#pragma pack(1)
-
-/*
- * General EAX, EBX, ECX, EDX type registers.  Note that for
- * portability, and speed, the issue of byte swapping is not addressed
- * in the registers.  All registers are stored in the default format
- * available on the host machine.  The only critical issue is that the
- * registers should line up EXACTLY in the same manner as they do in
- * the 386.  That is:
- *
- * EAX & 0xff  === AL
- * EAX & 0xffff == AX
- *
- * etc.  The result is that alot of the calculations can then be
- * done using the native instruction set fully.
- */
-
-#ifdef __BIG_ENDIAN__
-
-typedef struct {
-    u32 e_reg;
-       } I32_reg_t;
-
-typedef struct {
-       u16 filler0, x_reg;
-       } I16_reg_t;
-
-typedef struct {
-       u8 filler0, filler1, h_reg, l_reg;
-       } I8_reg_t;
-
-#else /* !__BIG_ENDIAN__ */
-
-typedef struct {
-    u32 e_reg;
-       } I32_reg_t;
-
-typedef struct {
-       u16 x_reg;
-       } I16_reg_t;
-
-typedef struct {
-       u8 l_reg, h_reg;
-       } I8_reg_t;
-
-#endif /* BIG_ENDIAN */
-
-typedef union {
-       I32_reg_t   I32_reg;
-       I16_reg_t   I16_reg;
-       I8_reg_t    I8_reg;
-       } i386_general_register;
-
-struct i386_general_regs {
-       i386_general_register A, B, C, D;
-       };
-
-typedef struct i386_general_regs Gen_reg_t;
-
-struct i386_special_regs {
-       i386_general_register SP, BP, SI, DI, IP;
-       u32 FLAGS;
-       };
-
-/*  
- * Segment registers here represent the 16 bit quantities
- * CS, DS, ES, SS.
- */
-
-struct i386_segment_regs {
-    u16 CS, DS, SS, ES, FS, GS;
-       };
-
-/* 8 bit registers */
-#define R_AH  gen.A.I8_reg.h_reg
-#define R_AL  gen.A.I8_reg.l_reg
-#define R_BH  gen.B.I8_reg.h_reg
-#define R_BL  gen.B.I8_reg.l_reg
-#define R_CH  gen.C.I8_reg.h_reg
-#define R_CL  gen.C.I8_reg.l_reg
-#define R_DH  gen.D.I8_reg.h_reg
-#define R_DL  gen.D.I8_reg.l_reg
-
-/* 16 bit registers */
-#define R_AX  gen.A.I16_reg.x_reg
-#define R_BX  gen.B.I16_reg.x_reg
-#define R_CX  gen.C.I16_reg.x_reg
-#define R_DX  gen.D.I16_reg.x_reg
-
-/* 32 bit extended registers */
-#define R_EAX  gen.A.I32_reg.e_reg
-#define R_EBX  gen.B.I32_reg.e_reg
-#define R_ECX  gen.C.I32_reg.e_reg
-#define R_EDX  gen.D.I32_reg.e_reg
-
-/* special registers */
-#define R_SP  spc.SP.I16_reg.x_reg
-#define R_BP  spc.BP.I16_reg.x_reg
-#define R_SI  spc.SI.I16_reg.x_reg
-#define R_DI  spc.DI.I16_reg.x_reg
-#define R_IP  spc.IP.I16_reg.x_reg
-#define R_FLG spc.FLAGS
-
-/* special registers */
-#define R_SP  spc.SP.I16_reg.x_reg
-#define R_BP  spc.BP.I16_reg.x_reg
-#define R_SI  spc.SI.I16_reg.x_reg
-#define R_DI  spc.DI.I16_reg.x_reg
-#define R_IP  spc.IP.I16_reg.x_reg
-#define R_FLG spc.FLAGS
-
-/* special registers */
-#define R_ESP  spc.SP.I32_reg.e_reg
-#define R_EBP  spc.BP.I32_reg.e_reg
-#define R_ESI  spc.SI.I32_reg.e_reg
-#define R_EDI  spc.DI.I32_reg.e_reg
-#define R_EIP  spc.IP.I32_reg.e_reg
-#define R_EFLG spc.FLAGS
-
-/* segment registers */
-#define R_CS  seg.CS
-#define R_DS  seg.DS
-#define R_SS  seg.SS
-#define R_ES  seg.ES
-#define R_FS  seg.FS
-#define R_GS  seg.GS
-
-/* flag conditions   */
-#define FB_CF 0x0001            /* CARRY flag  */
-#define FB_PF 0x0004            /* PARITY flag */
-#define FB_AF 0x0010            /* AUX  flag   */
-#define FB_ZF 0x0040            /* ZERO flag   */
-#define FB_SF 0x0080            /* SIGN flag   */
-#define FB_TF 0x0100            /* TRAP flag   */
-#define FB_IF 0x0200            /* INTERRUPT ENABLE flag */
-#define FB_DF 0x0400            /* DIR flag    */
-#define FB_OF 0x0800            /* OVERFLOW flag */
-
-/* 80286 and above always have bit#1 set */
-#define F_ALWAYS_ON  (0x0002)   /* flag bits always on */
-
-/*
- * Define a mask for only those flag bits we will ever pass back 
- * (via PUSHF) 
- */
-#define F_MSK (FB_CF|FB_PF|FB_AF|FB_ZF|FB_SF|FB_TF|FB_IF|FB_DF|FB_OF)
-
-/* following bits masked in to a 16bit quantity */
-
-#define F_CF 0x0001             /* CARRY flag  */
-#define F_PF 0x0004             /* PARITY flag */
-#define F_AF 0x0010             /* AUX  flag   */
-#define F_ZF 0x0040             /* ZERO flag   */
-#define F_SF 0x0080             /* SIGN flag   */
-#define F_TF 0x0100             /* TRAP flag   */
-#define F_IF 0x0200             /* INTERRUPT ENABLE flag */
-#define F_DF 0x0400             /* DIR flag    */
-#define F_OF 0x0800             /* OVERFLOW flag */
-
-#define TOGGLE_FLAG(flag)      (M.x86.R_FLG ^= (flag))
-#define SET_FLAG(flag)         (M.x86.R_FLG |= (flag))
-#define CLEAR_FLAG(flag)       (M.x86.R_FLG &= ~(flag))
-#define ACCESS_FLAG(flag)      (M.x86.R_FLG & (flag))
-#define CLEARALL_FLAG(m)       (M.x86.R_FLG = 0)
-
-#define CONDITIONAL_SET_FLAG(COND,FLAG) \
-  if (COND) SET_FLAG(FLAG); else CLEAR_FLAG(FLAG)
-
-#define F_PF_CALC 0x010000      /* PARITY flag has been calced    */
-#define F_ZF_CALC 0x020000      /* ZERO flag has been calced      */
-#define F_SF_CALC 0x040000      /* SIGN flag has been calced      */
-
-#define F_ALL_CALC      0xff0000        /* All have been calced   */
-
-/*
- * Emulator machine state.
- * Segment usage control.
- */
-#define SYSMODE_SEG_DS_SS       0x00000001
-#define SYSMODE_SEGOVR_CS       0x00000002
-#define SYSMODE_SEGOVR_DS       0x00000004
-#define SYSMODE_SEGOVR_ES       0x00000008
-#define SYSMODE_SEGOVR_FS       0x00000010
-#define SYSMODE_SEGOVR_GS       0x00000020
-#define SYSMODE_SEGOVR_SS       0x00000040
-#define SYSMODE_PREFIX_REPE     0x00000080
-#define SYSMODE_PREFIX_REPNE    0x00000100
-#define SYSMODE_PREFIX_DATA     0x00000200
-#define SYSMODE_PREFIX_ADDR     0x00000400
-//phueper: for REP(E|NE) Instructions, we need to decide wether it should be using
-//the 32bit ECX register as or the 16bit CX register as count register
-#define SYSMODE_32BIT_REP       0x00000800
-#define SYSMODE_INTR_PENDING    0x10000000
-#define SYSMODE_EXTRN_INTR      0x20000000
-#define SYSMODE_HALTED          0x40000000
-
-#define SYSMODE_SEGMASK (SYSMODE_SEG_DS_SS      | \
-                                                SYSMODE_SEGOVR_CS      | \
-                                                SYSMODE_SEGOVR_DS      | \
-                                                SYSMODE_SEGOVR_ES      | \
-                                                SYSMODE_SEGOVR_FS      | \
-                                                SYSMODE_SEGOVR_GS      | \
-                                                SYSMODE_SEGOVR_SS)
-#define SYSMODE_CLRMASK (SYSMODE_SEG_DS_SS      | \
-                                                SYSMODE_SEGOVR_CS      | \
-                                                SYSMODE_SEGOVR_DS      | \
-                                                SYSMODE_SEGOVR_ES      | \
-                                                SYSMODE_SEGOVR_FS      | \
-                                                SYSMODE_SEGOVR_GS      | \
-                                                SYSMODE_SEGOVR_SS      | \
-                                                SYSMODE_PREFIX_DATA    | \
-                                                SYSMODE_PREFIX_ADDR    | \
-                                                SYSMODE_32BIT_REP)
-
-#define  INTR_SYNCH           0x1
-#define  INTR_ASYNCH          0x2
-#define  INTR_HALTED          0x4
-
-typedef struct {
-    struct i386_general_regs    gen;
-    struct i386_special_regs    spc;
-    struct i386_segment_regs    seg;
-    /*
-     * MODE contains information on:
-     *  REPE prefix             2 bits  repe,repne
-     *  SEGMENT overrides       5 bits  normal,DS,SS,CS,ES
-     *  Delayed flag set        3 bits  (zero, signed, parity)
-     *  reserved                6 bits
-     *  interrupt #             8 bits  instruction raised interrupt
-     *  BIOS video segregs      4 bits  
-     *  Interrupt Pending       1 bits  
-     *  Extern interrupt        1 bits
-     *  Halted                  1 bits
-     */
-    u32                         mode;
-    volatile int                intr;   /* mask of pending interrupts */
-    volatile int                         debug;
-#if CONFIG_X86EMU_DEBUG
-    int                         check;
-    u16                         saved_ip;
-    u16                         saved_cs;
-    int                         enc_pos;
-    int                         enc_str_pos;
-    char                        decode_buf[32]; /* encoded byte stream  */
-    char                        decoded_buf[256]; /* disassembled strings */
-#endif
-    u8                          intno;
-    u8                          __pad[3];
-       } X86EMU_regs;
-
-/****************************************************************************
-REMARKS:
-Structure maintaining the emulator machine state.
-
-MEMBERS:
-mem_base               - Base real mode memory for the emulator
-abseg                  - Base for the absegment
-mem_size               - Size of the real mode memory block for the emulator
-private                        - private data pointer
-x86                    - X86 registers
-****************************************************************************/
-typedef struct {
-       unsigned long   mem_base;
-       unsigned long   mem_size;
-       unsigned long   abseg;
-       void*           private;
-       X86EMU_regs             x86;
-       } X86EMU_sysEnv;
-
-#pragma pack()
-
-/*----------------------------- Global Variables --------------------------*/
-
-#ifdef  __cplusplus
-extern "C" {                                   /* Use "C" linkage when in C++ mode */
-#endif
-
-/* Global emulator machine state.
- *
- * We keep it global to avoid pointer dereferences in the code for speed.
- */
-
-extern    X86EMU_sysEnv        _X86EMU_env;
-#define   M             _X86EMU_env
-
-#define X86_EAX M.x86.R_EAX
-#define X86_EBX M.x86.R_EBX
-#define X86_ECX M.x86.R_ECX
-#define X86_EDX M.x86.R_EDX
-#define X86_ESI M.x86.R_ESI
-#define X86_EDI M.x86.R_EDI
-#define X86_EBP M.x86.R_EBP
-#define X86_EIP M.x86.R_EIP
-#define X86_ESP M.x86.R_ESP
-#define X86_EFLAGS M.x86.R_EFLG
-
-#define X86_FLAGS M.x86.R_FLG
-#define X86_AX M.x86.R_AX
-#define X86_BX M.x86.R_BX
-#define X86_CX M.x86.R_CX
-#define X86_DX M.x86.R_DX
-#define X86_SI M.x86.R_SI
-#define X86_DI M.x86.R_DI
-#define X86_BP M.x86.R_BP
-#define X86_IP M.x86.R_IP
-#define X86_SP M.x86.R_SP
-#define X86_CS M.x86.R_CS
-#define X86_DS M.x86.R_DS
-#define X86_ES M.x86.R_ES
-#define X86_SS M.x86.R_SS
-#define X86_FS M.x86.R_FS
-#define X86_GS M.x86.R_GS
-
-#define X86_AL M.x86.R_AL
-#define X86_BL M.x86.R_BL
-#define X86_CL M.x86.R_CL
-#define X86_DL M.x86.R_DL
-
-#define X86_AH M.x86.R_AH
-#define X86_BH M.x86.R_BH
-#define X86_CH M.x86.R_CH
-#define X86_DH M.x86.R_DH
-
-#ifdef  __cplusplus
-}                                              /* End of "C" linkage for C++           */
-#endif
-
-#endif /* __X86EMU_REGS_H */
diff --git a/util/x86emu/include/x86emu/types.h b/util/x86emu/include/x86emu/types.h
deleted file mode 100644 (file)
index 5485eea..0000000
+++ /dev/null
@@ -1,89 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  Header file for x86 emulator type definitions.
-*
-****************************************************************************/
-
-/* $XFree86: xc/extras/x86emu/include/x86emu/types.h,v 1.4 2000/09/26 15:56:44 tsi Exp $ */
-
-#ifndef __X86EMU_TYPES_H
-#define __X86EMU_TYPES_H
-
-//#ifndef IN_MODULE
-//#include <sys/types.h>
-//#endif
-
-/*
- * The following kludge is an attempt to work around typedef conflicts with
- * <sys/types.h>.
- */
-#define u8   x86emuu8
-#define u16  x86emuu16
-#define u32  x86emuu32
-#define u64  x86emuu64
-#define s8   x86emus8
-#define s16  x86emus16
-#define s32  x86emus32
-#define s64  x86emus64
-#define uint x86emuuint
-#define sint x86emusint
-
-/*---------------------- Macros and type definitions ----------------------*/
-
-/* Currently only for Linux/32bit */
-#if defined(__GNUC__) && !defined(NO_LONG_LONG)
-#define __HAS_LONG_LONG__
-#endif
-
-typedef unsigned char          u8;
-typedef unsigned short                 u16;
-typedef unsigned int           u32;
-#ifdef __HAS_LONG_LONG__
-typedef unsigned long long     u64;
-#endif
-
-typedef signed char            s8;
-typedef signed short           s16;
-typedef signed int             s32;
-#ifdef __HAS_LONG_LONG__
-typedef signed long long       s64;
-#endif
-
-typedef unsigned int           uint;
-typedef signed int             sint;
-
-typedef u16 X86EMU_pioAddr;
-
-#endif /* __X86EMU_TYPES_H */
diff --git a/util/x86emu/include/x86emu/x86emu.h b/util/x86emu/include/x86emu/x86emu.h
deleted file mode 100644 (file)
index 493e494..0000000
+++ /dev/null
@@ -1,197 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  Header file for public specific functions.
-*               Any application linking against us should only
-*               include this header
-*
-****************************************************************************/
-/* $XFree86: xc/extras/x86emu/include/x86emu.h,v 1.2 2000/11/21 23:10:25 tsi Exp $ */
-
-#ifndef __X86EMU_X86EMU_H
-#define __X86EMU_X86EMU_H
-
-#include <stddef.h>
-#include <console/console.h>
-#if CONFIG_X86EMU_DEBUG
-#define DEBUG
-#endif
-
-#include "types.h"
-#define        X86API
-#define        X86APIP *
-#include "regs.h"
-
-/*---------------------- Macros and type definitions ----------------------*/
-
-#pragma        pack(1)
-
-/****************************************************************************
-REMARKS:
-Data structure containing ponters to programmed I/O functions used by the
-emulator. This is used so that the user program can hook all programmed
-I/O for the emulator to handled as necessary by the user program. By
-default the emulator contains simple functions that do not do access the
-hardware in any way. To allow the emualtor access the hardware, you will
-need to override the programmed I/O functions using the X86EMU_setupPioFuncs
-function.
-
-HEADER:
-x86emu.h
-
-MEMBERS:
-inb            - Function to read a byte from an I/O port
-inw            - Function to read a word from an I/O port
-inl     - Function to read a dword from an I/O port
-outb   - Function to write a byte to an I/O port
-outw    - Function to write a word to an I/O port
-outl    - Function to write a dword to an I/O port
-****************************************************************************/
-typedef struct {
-       u8      (X86APIP inb)(X86EMU_pioAddr addr);
-       u16     (X86APIP inw)(X86EMU_pioAddr addr);
-       u32     (X86APIP inl)(X86EMU_pioAddr addr);
-       void    (X86APIP outb)(X86EMU_pioAddr addr, u8 val);
-       void    (X86APIP outw)(X86EMU_pioAddr addr, u16 val);
-       void    (X86APIP outl)(X86EMU_pioAddr addr, u32 val);
-       } X86EMU_pioFuncs;
-
-/****************************************************************************
-REMARKS:
-Data structure containing ponters to memory access functions used by the
-emulator. This is used so that the user program can hook all memory
-access functions as necessary for the emulator. By default the emulator
-contains simple functions that only access the internal memory of the
-emulator. If you need specialised functions to handle access to different
-types of memory (ie: hardware framebuffer accesses and BIOS memory access
-etc), you will need to override this using the X86EMU_setupMemFuncs
-function.
-
-HEADER:
-x86emu.h
-
-MEMBERS:
-rdb            - Function to read a byte from an address
-rdw            - Function to read a word from an address
-rdl     - Function to read a dword from an address
-wrb            - Function to write a byte to an address
-wrw            - Function to write a word to an address
-wrl            - Function to write a dword to an address
-****************************************************************************/
-typedef struct {
-       u8      (X86APIP rdb)(u32 addr);
-       u16     (X86APIP rdw)(u32 addr);
-       u32     (X86APIP rdl)(u32 addr);
-       void    (X86APIP wrb)(u32 addr, u8 val);
-       void    (X86APIP wrw)(u32 addr, u16 val);
-       void    (X86APIP wrl)(u32 addr, u32 val);
-       } X86EMU_memFuncs;
-
-/****************************************************************************
-  Here are the default memory read and write
-  function in case they are needed as fallbacks.
-***************************************************************************/
-extern u8 X86API rdb(u32 addr);
-extern u16 X86API rdw(u32 addr);
-extern u32 X86API rdl(u32 addr);
-extern void X86API wrb(u32 addr, u8 val);
-extern void X86API wrw(u32 addr, u16 val);
-extern void X86API wrl(u32 addr, u32 val);
-#pragma        pack()
-
-/*--------------------- type definitions -----------------------------------*/
-
-typedef void (X86APIP X86EMU_intrFuncs)(int num);
-extern X86EMU_intrFuncs _X86EMU_intrTab[256];
-
-/*-------------------------- Function Prototypes --------------------------*/
-
-#ifdef  __cplusplus
-extern "C" {                                   /* Use "C" linkage when in C++ mode */
-#endif
-
-void   X86EMU_setupMemFuncs(X86EMU_memFuncs *funcs);
-void   X86EMU_setupPioFuncs(X86EMU_pioFuncs *funcs);
-void   X86EMU_setupIntrFuncs(X86EMU_intrFuncs funcs[]);
-void   X86EMU_prepareForInt(int num);
-
-void X86EMU_setMemBase(void *base, size_t size);
-
-/* decode.c */
-
-void   X86EMU_exec(void);
-void   X86EMU_halt_sys(void);
-
-#if CONFIG_X86EMU_DEBUG
-#define        HALT_SYS()      \
-       printf("halt_sys: in %s\n", __func__);  \
-       X86EMU_halt_sys();
-#else
-#define        HALT_SYS()      X86EMU_halt_sys()
-#endif
-
-/* Debug options */
-
-#define DEBUG_DECODE_F          0x000001 /* print decoded instruction  */
-#define DEBUG_TRACE_F           0x000002 /* dump regs before/after execution */
-#define DEBUG_STEP_F            0x000004
-#define DEBUG_DISASSEMBLE_F     0x000008
-#define DEBUG_BREAK_F           0x000010
-#define DEBUG_SVC_F             0x000020
-#define DEBUG_FS_F              0x000080
-#define DEBUG_PROC_F            0x000100
-#define DEBUG_SYSINT_F          0x000200 /* bios system interrupts. */
-#define DEBUG_TRACECALL_F       0x000400
-#define DEBUG_INSTRUMENT_F      0x000800
-#define DEBUG_MEM_TRACE_F       0x001000 
-#define DEBUG_IO_TRACE_F        0x002000 
-#define DEBUG_TRACECALL_REGS_F  0x004000
-#define DEBUG_DECODE_NOPRINT_F  0x008000 
-#define DEBUG_SAVE_IP_CS_F      0x010000
-#define DEBUG_TRACEJMP_F        0x020000
-#define DEBUG_TRACEJMP_REGS_F   0x040000
-#define DEBUG_SYS_F             (DEBUG_SVC_F|DEBUG_FS_F|DEBUG_PROC_F)
-
-void   X86EMU_trace_regs(void);
-void   X86EMU_trace_xregs(void);
-void   X86EMU_dump_memory(u16 seg, u16 off, u32 amt);
-int    X86EMU_trace_on(void);
-int    X86EMU_trace_off(void);
-
-#ifdef  __cplusplus
-}                                              /* End of "C" linkage for C++           */
-#endif
-
-#endif /* __X86EMU_X86EMU_H */
diff --git a/util/x86emu/x86.c b/util/x86emu/x86.c
deleted file mode 100644 (file)
index 2ce5b45..0000000
+++ /dev/null
@@ -1,244 +0,0 @@
-/*
- * This file is part of the coreboot project.
- *
- * Copyright (C) 2009 coresystems GmbH
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; version 2 of the License.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
- */
-
-#include <device/pci.h>
-#include <string.h>
-
-#include <arch/io.h>
-#include <arch/registers.h>
-#include <console/console.h>
-#include <arch/interrupt.h>
-
-#define REALMODE_BASE ((void *)0x600)
-
-struct realmode_idt {
-       u16 offset, cs;
-};
-
-void x86_exception(struct eregs *info);
-
-extern unsigned char __idt_handler, __idt_handler_size;
-extern unsigned char __realmode_code, __realmode_code_size;
-extern unsigned char __run_optionrom, __run_interrupt;
-
-void (*run_optionrom)(u32 devfn) __attribute__((regparm(0))) = (void *)&__run_optionrom;
-void (*vga_enable_console)(void) __attribute__((regparm(0))) = (void *)&__run_interrupt;
-
-int (*intXX_handler[256])(struct eregs *regs) = { NULL };
-
-static int intXX_exception_handler(struct eregs *regs)
-{
-       printk(BIOS_INFO, "Oops, exception %d while executing option rom\n", 
-                       regs->vector);
-       x86_exception(regs);    // Call coreboot exception handler 
-
-       return 0;               // Never returns?
-}
-
-static int intXX_unknown_handler(struct eregs *regs)
-{
-       printk(BIOS_INFO, "Unsupported software interrupt #0x%x\n", 
-                       regs->vector);
-
-       return -1;
-}
-
-/* setup interrupt handlers for mainboard */
-void mainboard_interrupt_handlers(int intXX, void *intXX_func)
-{
-       intXX_handler[intXX] = intXX_func;
-}
-
-int int12_handler(struct eregs *regs);
-int int15_handler(struct eregs *regs);
-int int1a_handler(struct eregs *regs);
-
-static void setup_interrupt_handlers(void)
-{
-       int i;
-
-       /* The first 16 intXX functions are not BIOS services, 
-        * but the CPU-generated exceptions ("hardware interrupts")
-        */
-       for (i = 0; i < 0x10; i++)
-               intXX_handler[i] = &intXX_exception_handler;
-       
-       /* Mark all other intXX calls as unknown first */
-       for (i = 0x10; i < 0x100; i++)
-       {
-               /* If the mainboard_interrupt_handler isn't called first.
-                */
-               if(!intXX_handler[i])
-               {
-                       /* Now set the default functions that are actually
-                        * needed to initialize the option roms. This is very
-                        * slick, as it allows us to implement mainboard specific
-                        * interrupt handlers, such as the int15
-                        */
-                       switch (i) {
-                       case 0x12:
-                               intXX_handler[0x12] = &int12_handler;
-                               break;
-                       case 0x15:
-                               intXX_handler[0x15] = &int15_handler;
-                               break;
-                       case 0x1a:
-                               intXX_handler[0x1a] = &int1a_handler;
-                               break;
-                       default:
-                               intXX_handler[i] = &intXX_unknown_handler;
-                               break;
-                       }
-               }
-       }
-}
-
-static void write_idt_stub(void *target, u8 intnum)
-{
-       unsigned char *codeptr;
-       codeptr = (unsigned char *) target;
-       memcpy(codeptr, &__idt_handler, (size_t)&__idt_handler_size);
-       codeptr[3] = intnum; /* modify int# in the code stub. */
-}
-
-static void setup_realmode_idt(void)
-{
-       struct realmode_idt *idts = (struct realmode_idt *) 0;
-       int i;
-
-       /* Copy IDT stub code for each interrupt. This might seem wasteful
-        * but it is really simple
-        */
-        for (i = 0; i < 256; i++) {
-               idts[i].cs = 0;
-               idts[i].offset = 0x1000 + (i * (u32)&__idt_handler_size);
-               write_idt_stub((void *)((u32 )idts[i].offset), i);
-       }
-
-       /* Many option ROMs use the hard coded interrupt entry points in the
-        * system bios. So install them at the known locations. 
-        * Only need int10 so far.
-        */
-       
-       /* int42 is the relocated int10 */
-       write_idt_stub((void *)0xff065, 0x42); 
-}
-
-void run_bios(struct device *dev, unsigned long addr)
-{
-       /* clear vga bios data area */
-       memset((void *)0x400, 0, 0x200);
-
-       /* Set up C interrupt handlers */
-       setup_interrupt_handlers();
-
-       /* Setting up realmode IDT */
-       setup_realmode_idt();
-
-       memcpy(REALMODE_BASE, &__realmode_code, (size_t)&__realmode_code_size);
-       printk(BIOS_SPEW, "Real mode stub @%p: %d bytes\n", REALMODE_BASE,
-                       (u32)&__realmode_code_size);
-
-       printk(BIOS_DEBUG, "Calling Option ROM...\n");
-       run_optionrom((dev->bus->secondary << 8) | dev->path.pci.devfn);
-       printk(BIOS_DEBUG, "... Option ROM returned.\n");
-}
-
-int __attribute__((regparm(0))) interrupt_handler(u32 intnumber,
-           u32 gsfs, u32 dses,
-           u32 edi, u32 esi,
-           u32 ebp, u32 esp,
-           u32 ebx, u32 edx,
-           u32 ecx, u32 eax,
-           u32 cs_ip, u16 stackflags);
-
-int __attribute__((regparm(0))) interrupt_handler(u32 intnumber,
-           u32 gsfs, u32 dses,
-           u32 edi, u32 esi,
-           u32 ebp, u32 esp,
-           u32 ebx, u32 edx,
-           u32 ecx, u32 eax,
-           u32 cs_ip, u16 stackflags)
-{
-       u32 ip;
-       u32 cs;
-       u32 flags;
-       int ret = -1;
-       struct eregs reg_info;
-
-       ip = cs_ip & 0xffff;
-       cs = cs_ip >> 16;
-       flags = stackflags;
-
-       printk(BIOS_DEBUG, "oprom: INT# 0x%x\n", intnumber);
-       printk(BIOS_DEBUG, "oprom: eax: %08x ebx: %08x ecx: %08x edx: %08x\n",
-                     eax, ebx, ecx, edx);
-       printk(BIOS_DEBUG, "oprom: ebp: %08x esp: %08x edi: %08x esi: %08x\n",
-                    ebp, esp, edi, esi);
-       printk(BIOS_DEBUG, "oprom:  ip: %04x      cs: %04x   flags: %08x\n",
-                    ip, cs, flags);
-
-       // Fetch arguments from the stack and put them into
-       // a structure that we want to pass on to our sub interrupt
-       // handlers.
-       reg_info = (struct eregs) {
-               .eax=eax,
-               .ecx=ecx,
-               .edx=edx,
-               .ebx=ebx,
-               .esp=esp,
-               .ebp=ebp,
-               .esi=esi,
-               .edi=edi,
-               .vector=intnumber,
-               .error_code=0, // ??
-               .eip=ip,
-               .cs=cs,
-               .eflags=flags // ??
-       };
-
-       // Call the interrupt handler for this int#
-       ret = intXX_handler[intnumber](&reg_info);
-
-       // Put registers back on the stack. The assembler code
-       // will later pop them.
-       // What happens here is that we force (volatile!) changing
-       // the values of the parameters of this function. We do this
-       // because we know that they stay alive on the stack after 
-       // we leave this function. Don't say this is bollocks.
-       *(volatile u32 *)&eax = reg_info.eax;
-       *(volatile u32 *)&ecx = reg_info.ecx;
-       *(volatile u32 *)&edx = reg_info.edx;
-       *(volatile u32 *)&ebx = reg_info.ebx;
-       *(volatile u32 *)&esi = reg_info.esi;
-       *(volatile u32 *)&edi = reg_info.edi;
-       flags = reg_info.eflags;
-
-       /* Pass errors back to our caller via the CARRY flag */
-       if (ret) {
-               printk(BIOS_DEBUG,"error!\n");
-               flags |= 1;  // error: set carry
-       }else{
-               flags &= ~1; // no error: clear carry
-       }
-       *(volatile u16 *)&stackflags = flags;
-
-       return ret;
-}
-
diff --git a/util/x86emu/x86_asm.S b/util/x86emu/x86_asm.S
deleted file mode 100644 (file)
index 616aa86..0000000
+++ /dev/null
@@ -1,347 +0,0 @@
-/*
- * This file is part of the coreboot project.
- *
- * Copyright (C) 2009 coresystems GmbH
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; version 2 of the License.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
- */
-
-#define REALMODE_BASE          0x600
-#define RELOCATED(x)   (x - __realmode_code + REALMODE_BASE)
-
-/* CR0 bits */
-#define PE             (1 << 0)
-
-/* This is the intXX interrupt handler stub code. It gets copied
- * to the IDT and to some fixed addresses in the F segment. Before
- * the code can used, it gets patched up by the C function copying 
- * it: byte 3 (the $0 in movb $0, %al) is overwritten with the int#.
- */
-
-       .code16
-       .globl __idt_handler
-__idt_handler:
-       pushal
-       movb    $0, %al /* This instruction gets modified */
-       ljmp    $0, $__interrupt_handler_16bit
-       .globl __idt_handler_size
-__idt_handler_size = ( . - __idt_handler)
-
-
-/* In order to be independent of coreboot's position in RAM
- * we relocate a part of the code to the low megabyte, so the
- * CPU can use it in real-mode. This code lives at __realmode_code.
- */
-       .globl __realmode_code
-__realmode_code:
-
-/* Realmode IDT pointer structure. */
-       .globl __realmode_idt
-__realmode_idt = RELOCATED(.)
-       .word 1023      /* 16-bit limit */
-       .long 0         /* 24-bit base */
-       .word 0
-
-/* Preserve old stack */
-__stack = RELOCATED(.)
-       .long 0
-
-       .code32
-       .globl __run_optionrom
-__run_optionrom = RELOCATED(.)
-       /* save all registers to the stack */
-       pushal
-
-       /* Move the protected mode stack to a safe place */
-       mov     %esp, __stack
-
-       /* Get devfn into %ecx */
-       movl    %esp, %ebp
-       /* This function is called with regparm=0 and we have
-        * to skip the 32 byte from pushal:
-        */
-       movl    36(%ebp), %ecx
-
-       /* Activate the right segment descriptor real mode. */
-       ljmp    $0x28, $RELOCATED(1f)
-1:
-.code16
-       /* 16 bit code from here on... */
-
-       /* Load the segment registers w/ properly configured
-        * segment descriptors. They will retain these
-        * configurations (limits, writability, etc.) once
-        * protected mode is turned off.
-        */
-       mov     $0x30, %ax
-       mov     %ax, %ds       
-       mov     %ax, %es       
-       mov     %ax, %fs       
-       mov     %ax, %gs       
-       mov     %ax, %ss       
-
-       /* Turn off protection */
-       movl    %cr0, %eax
-       andl    $~PE, %eax
-       movl    %eax, %cr0
-
-       /* Now really going into real mode */
-       ljmp    $0, $RELOCATED(1f)
-1:
-       /* Setup a stack: Put the stack at the end of page zero.
-        * That way we can easily share it between real and
-        * protected, since the 16-bit ESP at segment 0 will
-        * work for any case. */
-       mov     $0x0, %ax
-       mov     %ax, %ss
-       movl    $0x1000, %eax
-       movl    %eax, %esp
-
-       /* Load our 16 bit idt */
-       xor     %ax, %ax
-       mov     %ax, %ds
-       lidt    __realmode_idt
-
-       /* Set all segments to 0x0000, ds to 0x0040 */
-       mov     %ax, %es       
-       mov     %ax, %fs       
-       mov     %ax, %gs       
-       mov     $0x40, %ax
-       mov     %ax, %ds
-       mov     %cx, %ax        // restore ax
-
-       /* ************************************ */
-       // TODO this will not work for non-VGA option ROMs
-       /* run VGA BIOS at 0xc000:0003 */
-       lcall   $0xc000, $0x0003
-       /* ************************************ */
-
-       /* If we got here, just about done.
-        * Need to get back to protected mode
-        */
-       movl    %cr0, %eax
-       orl     $PE, %eax
-       movl    %eax, %cr0
-
-       /* Now that we are in protected mode
-        * jump to a 32 bit code segment.
-        */
-       data32  ljmp    $0x10, $RELOCATED(1f)
-1:
-       .code32
-       movw    $0x18, %ax     
-       mov     %ax, %ds       
-       mov     %ax, %es
-       mov     %ax, %fs
-       mov     %ax, %gs
-       mov     %ax, %ss
-
-       /* restore proper idt */
-       lidt    idtarg
-
-       /* and exit */
-       mov     __stack, %esp
-       popal
-       ret
-
-       .globl __run_interrupt
-__run_interrupt = RELOCATED(.)
-
-       /* paranoia -- does ecx get saved? not sure. This is
-        * the easiest safe thing to do. */
-       pushal
-       /* save the stack */
-       mov     %esp, __stack
-
-
-       /*  This configures CS properly for real mode. */
-       ljmp    $0x28, $RELOCATED(1f)
-1:
-       .code16 /* 16 bit code from here on... */
-
-       // DEBUG
-       movb    $0xec, %al
-       outb    %al, $0x80
-
-       /* Load the segment registers w/ properly configured segment
-        * descriptors.  They will retain these configurations (limits,
-        * writability, etc.) once protected mode is turned off.
-        */
-       mov     $0x30, %ax     
-       mov     %ax, %ds       
-       mov     %ax, %es       
-       mov     %ax, %fs       
-       mov     %ax, %gs       
-       mov     %ax, %ss       
-
-       /* Turn off protected mode */
-       movl    %cr0, %eax     
-       andl    $~PE, %eax
-       movl    %eax, %cr0     
-
-       /* Now really going into real mode */
-       data32 ljmp     $0, $RELOCATED(1f)
-1:
-
-       /* put the stack at the end of page zero.
-        * that way we can easily share it between real and protected,
-        * since the 16-bit ESP at segment 0 will work for any case.
-        */
-       /* setup a stack */
-       mov     $0x0, %ax
-       mov     %ax, %ss
-       movl    $0x1000, %eax
-       movl    %eax, %esp
-
-       /* Load 16-bit intXX IDT */
-       xor     %ax, %ax       
-       mov     %ax, %ds
-       lidt    __realmode_idt
-
-       /* Set all segments to 0x0000 */
-       mov     %ax, %ds
-       mov     %ax, %es
-       mov     %ax, %fs
-       mov     %ax, %gs
-
-       /* Call VGA BIOS int10 function 0x4f14 to enable main console
-        * Epia-M does not always autosence the main console so forcing
-        * it on is good.
-        */
-
-       /* Ask VGA option rom to enable main console */
-       movw    $0x4f14,%ax
-       movw    $0x8003,%bx
-       movw    $1, %cx
-       movw    $0, %dx
-       movw    $0, %di
-       int     $0x10
-
-       /* Ok, the job is done, now go back to protected mode coreboot */
-       movl    %cr0, %eax
-       orl     $PE, %eax
-       movl    %eax, %cr0
-
-       /* Now that we are in protected mode jump to a 32-bit code segment. */
-       data32  ljmp    $0x10, $RELOCATED(1f)
-1:
-       .code32
-       movw    $0x18, %ax
-       mov     %ax, %ds
-       mov     %ax, %es
-       mov     %ax, %fs
-       mov     %ax, %gs
-       mov     %ax, %ss
-
-       /* restore coreboot's 32-bit IDT */
-       lidt    idtarg
-
-       /* Exit */
-       mov     __stack, %esp
-       popal
-       ret
-
-/* This is the 16-bit interrupt entry point called by the IDT stub code.
- * Before this code code is called, %eax is pushed to the stack, and the
- * interrupt number is loaded into %al
- */
-       .code16
-__interrupt_handler_16bit = RELOCATED(.)
-       push    %ds
-       push    %es
-       push    %fs
-       push    %gs
-
-       /* Clean up the interrupt number. We could have done this in the stub,
-        * but it would have cost 2 more bytes per stub entry.
-        */
-       andl    $0xff, %eax
-       pushl   %eax            /* ... and make it the first parameter */
-
-       /* Switch to protected mode */
-       movl    %cr0, %eax
-       orl     $PE, %eax
-       movl    %eax, %cr0
-
-       /* ... and jump to a 32 bit code segment. */
-       data32 ljmp    $0x10, $RELOCATED(1f)
-1:
-       .code32
-       movw    $0x18, %ax
-       mov     %ax, %ds
-       mov     %ax, %es
-       mov     %ax, %fs
-       mov     %ax, %gs
-       mov     %ax, %ss
-
-       lidt    idtarg
-
-       /* Call the C interrupt handler */
-       movl    $interrupt_handler, %eax
-       call    *%eax
-
-       /* Now return to real mode ... */
-       ljmp    $0x28, $RELOCATED(1f)
-1:
-       .code16
-       /* Load the segment registers with properly configured segment
-        * descriptors.  They will retain these configurations (limits,
-        * writability, etc.) once protected mode is turned off.
-        */
-       mov     $0x30, %ax
-       mov     %ax, %ds
-       mov     %ax, %es
-       mov     %ax, %fs
-       mov     %ax, %gs
-       mov     %ax, %ss
-
-       /* Disable Protected Mode */
-       movl    %cr0, %eax
-       andl    $~PE, %eax
-       movl    %eax, %cr0
-
-       /* Now really going into real mode */
-       ljmp $0,  $RELOCATED(1f)
-1:
-       /* Restore real-mode stack segment */
-       mov     $0x0, %ax
-       mov     %ax, %ss
-
-       /* Restore 16-bit IDT */
-       xor     %ax, %ax
-       mov     %ax, %ds
-       lidt    __realmode_idt
-
-       /* Set up our segment registers to segment 0x0000 */
-       mov     %ax, %es
-       mov     %ax, %fs
-       mov     %ax, %gs
-       mov     $0x40, %ax
-       mov     %ax, %ds
-
-       /* Restore all registers, including those
-        * manipulated by the C handler
-        */
-       popl    %eax
-       pop     %gs
-       pop     %fs
-       pop     %es
-       pop     %ds
-       popal
-       iret
-
-       .globl __realmode_code_size
-__realmode_code_size = (. - __realmode_code)
-
-       .code32
diff --git a/util/x86emu/x86_interrupts.c b/util/x86emu/x86_interrupts.c
deleted file mode 100644 (file)
index 95964f8..0000000
+++ /dev/null
@@ -1,228 +0,0 @@
-/*
- * This file is part of the coreboot project.
- *
- * Copyright (C) 2001 Ronald G. Minnich
- * Copyright (C) 2005 Nick.Barker9@btinternet.com
- * Copyright (C) 2007-2009 coresystems GmbH
- *
- * This program is free software; you can redistribute it and/or modify
- * it under the terms of the GNU General Public License as published by
- * the Free Software Foundation; version 2 of the License.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
- */
-
-#include <device/pci.h>
-#include <device/pci_ids.h>
-#include <device/pci_ops.h>
-#include <string.h>
-#include <console/console.h>
-#include <arch/io.h>
-#include <arch/registers.h>
-
-enum {
-       PCIBIOS_CHECK = 0xb101,
-       PCIBIOS_FINDDEV = 0xb102,
-       PCIBIOS_READCONFBYTE = 0xb108,
-       PCIBIOS_READCONFWORD = 0xb109,
-       PCIBIOS_READCONFDWORD = 0xb10a,
-       PCIBIOS_WRITECONFBYTE = 0xb10b,
-       PCIBIOS_WRITECONFWORD = 0xb10c,
-       PCIBIOS_WRITECONFDWORD = 0xb10d
-};
-
-// errors go in AH. Just set these up so that word assigns
-// will work. KISS.
-enum {
-       PCIBIOS_SUCCESSFUL = 0x0000,
-       PCIBIOS_UNSUPPORTED = 0x8100,
-       PCIBIOS_BADVENDOR = 0x8300,
-       PCIBIOS_NODEV = 0x8600,
-       PCIBIOS_BADREG = 0x8700
-};
-
-int int12_handler(struct eregs *regs);
-int int1a_handler(struct eregs *regs);
-int int15_handler(struct eregs *regs);
-
-int int12_handler(struct eregs *regs)
-{
-       regs->eax = 64 * 1024;
-       return 0;
-}
-
-int int1a_handler(struct eregs *regs)
-{
-       unsigned short func = (unsigned short)regs->eax;
-       int retval = 0;
-       unsigned short devid, vendorid, devfn;
-       /* Use short to get rid of gabage in upper half of 32-bit register */
-       short devindex;
-       unsigned char bus;
-       struct device *dev;
-       u32 dword;
-       u16 word;
-       u8 byte, reg;
-
-       switch (func) {
-       case PCIBIOS_CHECK:
-               regs->edx = 0x20494350; /* ' ICP' */
-               regs->edi = 0x00000000; /* protected mode entry */
-               retval = 0;
-               break;
-       case PCIBIOS_FINDDEV:
-               devid = regs->ecx;
-               vendorid = regs->edx;
-               devindex = regs->esi;
-               dev = 0;
-               while ((dev = dev_find_device(vendorid, devid, dev))) {
-                       if (devindex <= 0)
-                               break;
-                       devindex--;
-               }
-               if (dev) {
-                       unsigned short busdevfn;
-                       regs->eax = 0;
-                       // busnum is an unsigned char;
-                       // devfn is an int, so we mask it off.
-                       busdevfn = (dev->bus->secondary << 8)
-                           | (dev->path.pci.devfn & 0xff);
-                       printk(BIOS_DEBUG, "0x%x: return 0x%x\n", func, busdevfn);
-                       regs->ebx = busdevfn;
-                       retval = 0;
-               } else {
-                       regs->eax = PCIBIOS_NODEV;
-                       retval = -1;
-               }
-               break;
-       case PCIBIOS_READCONFDWORD:
-       case PCIBIOS_READCONFWORD:
-       case PCIBIOS_READCONFBYTE:
-       case PCIBIOS_WRITECONFDWORD:
-       case PCIBIOS_WRITECONFWORD:
-       case PCIBIOS_WRITECONFBYTE:
-               devfn = regs->ebx & 0xff;
-               bus = regs->ebx >> 8;
-               reg = regs->edi;
-               dev = dev_find_slot(bus, devfn);
-               if (!dev) {
-                       printk(BIOS_DEBUG, "0x%x: BAD DEVICE bus %d devfn 0x%x\n", func, bus, devfn);
-                       // idiots. the pcibios guys assumed you'd never pass a bad bus/devfn!
-                       regs->eax = PCIBIOS_BADREG;
-                       retval = -1;
-                       return retval;
-               }
-               switch (func) {
-               case PCIBIOS_READCONFBYTE:
-                       byte = pci_read_config8(dev, reg);
-                       regs->ecx = byte;
-                       break;
-               case PCIBIOS_READCONFWORD:
-                       word = pci_read_config16(dev, reg);
-                       regs->ecx = word;
-                       break;
-               case PCIBIOS_READCONFDWORD:
-                       dword = pci_read_config32(dev, reg);
-                       regs->ecx = dword;
-                       break;
-               case PCIBIOS_WRITECONFBYTE:
-                       byte = regs->ecx;
-                       pci_write_config8(dev, reg, byte);
-                       break;
-               case PCIBIOS_WRITECONFWORD:
-                       word = regs->ecx;
-                       pci_write_config16(dev, reg, word);
-                       break;
-               case PCIBIOS_WRITECONFDWORD:
-                       dword = regs->ecx;
-                       pci_write_config32(dev, reg, dword);
-                       break;
-               }
-
-               printk(BIOS_DEBUG, "0x%x: bus %d devfn 0x%x reg 0x%x val 0x%x\n",
-                            func, bus, devfn, reg, regs->ecx);
-               regs->eax = 0;
-               retval = 0;
-               break;
-       default:
-               printk(BIOS_ERR, "UNSUPPORTED PCIBIOS FUNCTION 0x%x\n", func);
-               retval = -1;
-               break;
-       }
-
-       return retval;
-}
-
-int int15_handler(struct eregs *regs)
-{
-       int res = -1;
-
-       /* This int15 handler is VIA Tech. specific. Other chipsets need other
-        * handlers. The right way to do this is to move this handler code into
-        * the mainboard or northbridge code.
-        */
-       switch (regs->eax & 0xffff) {
-       case 0x5f19:
-               break;
-       case 0x5f18:
-               regs->eax = 0x5f;
-               // MCLK = 133, 32M frame buffer, 256 M main memory
-               regs->ebx = 0x545;
-               regs->ecx = 0x060;
-               res = 0;
-               break;
-       case 0x5f00:
-               regs->eax = 0x8600;
-               break;
-       case 0x5f01:
-               regs->eax = 0x5f;
-               regs->ecx = (regs->ecx & 0xffffff00 ) | 2; // panel type =  2 = 1024 * 768
-               res = 0;
-               break;
-       case 0x5f02:
-               regs->eax = 0x5f;
-               regs->ebx = (regs->ebx & 0xffff0000) | 2;
-               regs->ecx = (regs->ecx & 0xffff0000) | 0x401;  // PAL + crt only
-               regs->edx = (regs->edx & 0xffff0000) | 0;  // TV Layout - default
-               res = 0;
-               break;
-       case 0x5f0f:
-               regs->eax = 0x860f;
-               break;
-       /* And now Intel IGD code */
-#define BOOT_DISPLAY_DEFAULT    0
-#define BOOT_DISPLAY_CRT        (1 << 0)
-#define BOOT_DISPLAY_TV         (1 << 1)
-#define BOOT_DISPLAY_EFP        (1 << 2)
-#define BOOT_DISPLAY_LCD        (1 << 3)
-#define BOOT_DISPLAY_CRT2       (1 << 4)
-#define BOOT_DISPLAY_TV2        (1 << 5)
-#define BOOT_DISPLAY_EFP2       (1 << 6)
-#define BOOT_DISPLAY_LCD2       (1 << 7)
-
-       case 0x5f35:
-               regs->eax = 0x5f;
-               regs->ecx = BOOT_DISPLAY_DEFAULT;
-               res = 0;
-               break;
-       case 0x5f40:
-               regs->eax = 0x5f;
-               regs->ecx = 3; // This is mainboard specific
-               printk(BIOS_DEBUG, "DISPLAY=%x\n", regs->ecx);
-               res = 0;
-               break;
-       default:
-               printk(BIOS_DEBUG, "Unknown INT15 function %04x!\n", 
-                               regs->eax & 0xffff);
-       }
-
-       return res;
-}
-
diff --git a/util/x86emu/x86emu/LICENSE b/util/x86emu/x86emu/LICENSE
deleted file mode 100644 (file)
index a3ede4a..0000000
+++ /dev/null
@@ -1,17 +0,0 @@
-                         License information
-                         -------------------
-
-The x86emu library is under a BSD style license, comaptible
-with the XFree86 and X licenses used by XFree86. The
-original x86emu libraries were under the GNU General Public
-License. Due to license incompatibilities between the GPL
-and the XFree86 license, the original authors of the code
-decided to allow a license change. If you have submitted
-code to the original x86emu project, and you don't agree
-with the license change, please contact us and let you
-know. Your code will be removed to comply with your wishes.
-
-If you have any questions about this, please send email to
-x86emu@linuxlabs.com or KendallB@scitechsoft.com for
-clarification.
-
diff --git a/util/x86emu/x86emu/Makefile.inc b/util/x86emu/x86emu/Makefile.inc
deleted file mode 100644 (file)
index 2c5f7a7..0000000
+++ /dev/null
@@ -1,7 +0,0 @@
-obj-y += debug.o
-obj-y += decode.o
-obj-y += fpu.o
-obj-y += ops.o
-obj-y += ops2.o
-obj-y += prim_ops.o
-obj-y += sys.o
diff --git a/util/x86emu/x86emu/debug.c b/util/x86emu/x86emu/debug.c
deleted file mode 100644 (file)
index b3f4b6e..0000000
+++ /dev/null
@@ -1,434 +0,0 @@
-/****************************************************************************
-*
-*                       Realmode X86 Emulator Library
-*
-*               Copyright (C) 1991-2004 SciTech Software, Inc.
-*                    Copyright (C) David Mosberger-Tang
-*                      Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:     ANSI C
-* Environment:  Any
-* Developer:    Kendall Bennett
-*
-* Description:  This file contains the code to handle debugging of the
-*               emulator.
-*
-****************************************************************************/
-
-#include "x86emui.h"
-
-/*----------------------------- Implementation ----------------------------*/
-
-#ifdef DEBUG
-
-static void     print_encoded_bytes (u16 s, u16 o);
-static void     print_decoded_instruction (void);
-int      parse_line (char *s, int *ps, int *n);
-
-/* should look something like debug's output. */
-void X86EMU_trace_regs (void)
-{
-    if (DEBUG_TRACE()) {
-       if (M.x86.mode & (SYSMODE_PREFIX_DATA | SYSMODE_PREFIX_ADDR)) {
-               x86emu_dump_xregs();
-       } else {
-               x86emu_dump_regs();
-       }
-    }
-    if (DEBUG_DECODE() && ! DEBUG_DECODE_NOPRINT()) {
-        printf("%04x:%04x ",M.x86.saved_cs, M.x86.saved_ip);
-        print_encoded_bytes( M.x86.saved_cs, M.x86.saved_ip);
-        print_decoded_instruction();
-    }
-}
-
-void X86EMU_trace_xregs (void)
-{
-    if (DEBUG_TRACE()) {
-        x86emu_dump_xregs();
-    }
-}
-
-void x86emu_just_disassemble (void)
-{
-    /*
-     * This routine called if the flag DEBUG_DISASSEMBLE is set kind
-     * of a hack!
-     */
-    printf("%04x:%04x ",M.x86.saved_cs, M.x86.saved_ip);
-    print_encoded_bytes( M.x86.saved_cs, M.x86.saved_ip);
-    print_decoded_instruction();
-}
-
-void disassemble_forward (u16 seg, u16 off, int n)
-{
-    X86EMU_sysEnv tregs;
-    int i;
-    u8 op1;
-    /*
-     * hack, hack, hack.  What we do is use the exact machinery set up
-     * for execution, except that now there is an additional state
-     * flag associated with the "execution", and we are using a copy
-     * of the register struct.  All the major opcodes, once fully
-     * decoded, have the following two steps: TRACE_REGS(r,m);
-     * SINGLE_STEP(r,m); which disappear if DEBUG is not defined to
-     * the preprocessor.  The TRACE_REGS macro expands to:
-     *
-     * if (debug&DEBUG_DISASSEMBLE)
-     *     {just_disassemble(); goto EndOfInstruction;}
-     *     if (debug&DEBUG_TRACE) trace_regs(r,m);
-     *
-     * ......  and at the last line of the routine.
-     *
-     * EndOfInstruction: end_instr();
-     *
-     * Up to the point where TRACE_REG is expanded, NO modifications
-     * are done to any register EXCEPT the IP register, for fetch and
-     * decoding purposes.
-     *
-     * This was done for an entirely different reason, but makes a
-     * nice way to get the system to help debug codes.
-     */
-    tregs = M;
-    tregs.x86.R_IP = off;
-    tregs.x86.R_CS = seg;
-
-    /* reset the decoding buffers */
-    tregs.x86.enc_str_pos = 0;
-    tregs.x86.enc_pos = 0;
-
-    /* turn on the "disassemble only, no execute" flag */
-    tregs.x86.debug |= DEBUG_DISASSEMBLE_F;
-
-    /* DUMP NEXT n instructions to screen in straight_line fashion */
-    /*
-     * This looks like the regular instruction fetch stream, except
-     * that when this occurs, each fetched opcode, upon seeing the
-     * DEBUG_DISASSEMBLE flag set, exits immediately after decoding
-     * the instruction.  XXX --- CHECK THAT MEM IS NOT AFFECTED!!!
-     * Note the use of a copy of the register structure...
-     */
-    for (i=0; i<n; i++) {
-        op1 = (*sys_rdb)(((u32)M.x86.R_CS<<4) + (M.x86.R_IP++));
-        (x86emu_optab[op1])(op1);
-    }
-    /* end major hack mode. */
-}
-
-void x86emu_check_ip_access (void)
-{
-    /* NULL as of now */
-}
-
-void x86emu_check_sp_access (void)
-{
-}
-
-void x86emu_check_mem_access (u32 dummy)
-{
-    /*  check bounds, etc */
-}
-
-void x86emu_check_data_access (uint dummy1, uint dummy2)
-{
-    /*  check bounds, etc */
-}
-
-void x86emu_inc_decoded_inst_len (int x)
-{
-    M.x86.enc_pos += x;
-}
-
-void x86emu_decode_printf (const char *x)
-{
-    sprintf(M.x86.decoded_buf+M.x86.enc_str_pos,"%s",x);
-    M.x86.enc_str_pos += strlen(x);
-}
-
-void x86emu_decode_printf2 (const char *x, int y)
-{
-    char temp[100];
-    sprintf(temp,x,y);
-    sprintf(M.x86.decoded_buf+M.x86.enc_str_pos,"%s",temp);
-    M.x86.enc_str_pos += strlen(temp);
-}
-
-void x86emu_end_instr (void)
-{
-    M.x86.enc_str_pos = 0;
-    M.x86.enc_pos = 0;
-}
-
-static void print_encoded_bytes (u16 s, u16 o)
-{
-    int i;
-    char buf1[64];
-    for (i=0; i< M.x86.enc_pos; i++) {
-        sprintf(buf1+2*i,"%02x", fetch_data_byte_abs(s,o+i));
-    }
-    printf("%-20s ",buf1);
-}
-
-static void print_decoded_instruction (void)
-{
-    printf("%s", M.x86.decoded_buf);
-}
-
-void x86emu_print_int_vect (u16 iv)
-{
-    u16 seg,off;
-
-    if (iv > 256) return;
-    seg   = fetch_data_word_abs(0,iv*4);
-    off   = fetch_data_word_abs(0,iv*4+2);
-    printf("%04x:%04x ", seg, off);
-}
-
-void X86EMU_dump_memory (u16 seg, u16 off, u32 amt)
-{
-    u32 start = off & 0xfffffff0;
-    u32 end  = (off+16) & 0xfffffff0;
-    u32 i;
-    u32 current;
-
-    current = start;
-    while (end <= off + amt) {
-        printf("%04x:%04x ", seg, start);
-        for (i=start; i< off; i++)
-          printf("   ");
-        for (       ; i< end; i++)
-          printf("%02x ", fetch_data_byte_abs(seg,i));
-        printf("\n");
-        start = end;
-        end = start + 16;
-    }
-}
-
-void x86emu_single_step (void)
-{
-#if 0
-    char s[1024];
-    int ps[10];
-    int ntok;
-    int cmd;
-    int done;
-        int segment;
-    int offset;
-    static int breakpoint;
-    static int noDecode = 1;
-
-    char *p;
-
-        if (DEBUG_BREAK()) {
-                if (M.x86.saved_ip != breakpoint) {
-                        return;
-                } else {
-              M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F;
-                        M.x86.debug |= DEBUG_TRACE_F;
-                        M.x86.debug &= ~DEBUG_BREAK_F;
-                        print_decoded_instruction ();
-                        X86EMU_trace_regs();
-                }
-        }
-    done=0;
-    offset = M.x86.saved_ip;
-    while (!done) {
-        printf("-");
-        p = fgets(s, 1023, stdin);
-        cmd = parse_line(s, ps, &ntok);
-        switch(cmd) {
-          case 'u':
-            disassemble_forward(M.x86.saved_cs,(u16)offset,10);
-            break;
-          case 'd':
-                            if (ntok == 2) {
-                                    segment = M.x86.saved_cs;
-                                    offset = ps[1];
-                                    X86EMU_dump_memory(segment,(u16)offset,16);
-                                    offset += 16;
-                            } else if (ntok == 3) {
-                                    segment = ps[1];
-                                    offset = ps[2];
-                                    X86EMU_dump_memory(segment,(u16)offset,16);
-                                    offset += 16;
-                            } else {
-                                    segment = M.x86.saved_cs;
-                                    X86EMU_dump_memory(segment,(u16)offset,16);
-                                    offset += 16;
-                            }
-            break;
-          case 'c':
-            M.x86.debug ^= DEBUG_TRACECALL_F;
-            break;
-          case 's':
-            M.x86.debug ^= DEBUG_SVC_F | DEBUG_SYS_F | DEBUG_SYSINT_F;
-            break;
-          case 'r':
-            X86EMU_trace_regs();
-            break;
-          case 'x':
-            X86EMU_trace_xregs();
-            break;
-          case 'g':
-            if (ntok == 2) {
-                breakpoint = ps[1];
-        if (noDecode) {
-                        M.x86.debug |= DEBUG_DECODE_NOPRINT_F;
-        } else {
-                        M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F;
-        }
-        M.x86.debug &= ~DEBUG_TRACE_F;
-        M.x86.debug |= DEBUG_BREAK_F;
-        done = 1;
-            }
-            break;
-          case 'q':
-          M.x86.debug |= DEBUG_EXIT;
-          return;
-      case 'P':
-          noDecode = (noDecode)?0:1;
-          printf("Toggled decoding to %s\n",(noDecode)?"FALSE":"TRUE");
-          break;
-          case 't':
-      case 0:
-            done = 1;
-            break;
-        }
-    }
-#endif
-}
-
-int X86EMU_trace_on(void)
-{
-    return M.x86.debug |= DEBUG_STEP_F | DEBUG_DECODE_F | DEBUG_TRACE_F;
-}
-
-int X86EMU_trace_off(void)
-{
-    return M.x86.debug &= ~(DEBUG_STEP_F | DEBUG_DECODE_F | DEBUG_TRACE_F);
-}
-
-int parse_line (char *s, int *ps, int *n)
-{
-#if 0
-    int cmd;
-
-    *n = 0;
-    while(*s == ' ' || *s == '\t') s++;
-    ps[*n] = *s;
-    switch (*s) {
-      case '\n':
-        *n += 1;
-        return 0;
-      default:
-        cmd = *s;
-        *n += 1;
-    }
-
-    while (1) {
-        while (*s != ' ' && *s != '\t' && *s != '\n')  s++;
-
-        if (*s == '\n')
-            return cmd;
-
-        while(*s == ' ' || *s == '\t') s++;
-
-        sscanf(s,"%x",&ps[*n]);
-        *n += 1;
-    }
-#else
-    return 0;
-#endif
-}
-
-#endif /* DEBUG */
-
-void x86emu_dump_regs (void)
-{
-    printf("\tAX=%04x  ", M.x86.R_AX );
-    printf("BX=%04x  ", M.x86.R_BX );
-    printf("CX=%04x  ", M.x86.R_CX );
-    printf("DX=%04x  ", M.x86.R_DX );
-    printf("SP=%04x  ", M.x86.R_SP );
-    printf("BP=%04x  ", M.x86.R_BP );
-    printf("SI=%04x  ", M.x86.R_SI );
-    printf("DI=%04x\n", M.x86.R_DI );
-    printf("\tDS=%04x  ", M.x86.R_DS );
-    printf("ES=%04x  ", M.x86.R_ES );
-    printf("SS=%04x  ", M.x86.R_SS );
-    printf("CS=%04x  ", M.x86.R_CS );
-    printf("IP=%04x   ", M.x86.R_IP );
-    if (ACCESS_FLAG(F_OF))    printf("OV ");     /* CHECKED... */
-    else                        printf("NV ");
-    if (ACCESS_FLAG(F_DF))    printf("DN ");
-    else                        printf("UP ");
-    if (ACCESS_FLAG(F_IF))    printf("EI ");
-    else                        printf("DI ");
-    if (ACCESS_FLAG(F_SF))    printf("NG ");
-    else                        printf("PL ");
-    if (ACCESS_FLAG(F_ZF))    printf("ZR ");
-    else                        printf("NZ ");
-    if (ACCESS_FLAG(F_AF))    printf("AC ");
-    else                        printf("NA ");
-    if (ACCESS_FLAG(F_PF))    printf("PE ");
-    else                        printf("PO ");
-    if (ACCESS_FLAG(F_CF))    printf("CY ");
-    else                        printf("NC ");
-    printf("\n");
-}
-
-void x86emu_dump_xregs (void)
-{
-    printf("\tEAX=%08x  ", M.x86.R_EAX );
-    printf("EBX=%08x  ", M.x86.R_EBX );
-    printf("ECX=%08x  ", M.x86.R_ECX );
-    printf("EDX=%08x  \n", M.x86.R_EDX );
-    printf("\tESP=%08x  ", M.x86.R_ESP );
-    printf("EBP=%08x  ", M.x86.R_EBP );
-    printf("ESI=%08x  ", M.x86.R_ESI );
-    printf("EDI=%08x\n", M.x86.R_EDI );
-    printf("\tDS=%04x  ", M.x86.R_DS );
-    printf("ES=%04x  ", M.x86.R_ES );
-    printf("SS=%04x  ", M.x86.R_SS );
-    printf("CS=%04x  ", M.x86.R_CS );
-    printf("EIP=%08x\n\t", M.x86.R_EIP );
-    if (ACCESS_FLAG(F_OF))    printf("OV ");     /* CHECKED... */
-    else                        printf("NV ");
-    if (ACCESS_FLAG(F_DF))    printf("DN ");
-    else                        printf("UP ");
-    if (ACCESS_FLAG(F_IF))    printf("EI ");
-    else                        printf("DI ");
-    if (ACCESS_FLAG(F_SF))    printf("NG ");
-    else                        printf("PL ");
-    if (ACCESS_FLAG(F_ZF))    printf("ZR ");
-    else                        printf("NZ ");
-    if (ACCESS_FLAG(F_AF))    printf("AC ");
-    else                        printf("NA ");
-    if (ACCESS_FLAG(F_PF))    printf("PE ");
-    else                        printf("PO ");
-    if (ACCESS_FLAG(F_CF))    printf("CY ");
-    else                        printf("NC ");
-    printf("\n");
-}
diff --git a/util/x86emu/x86emu/debug.h b/util/x86emu/x86emu/debug.h
deleted file mode 100644 (file)
index 7aac995..0000000
+++ /dev/null
@@ -1,226 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  Header file for debug definitions.
-*
-****************************************************************************/
-/* $XFree86: xc/extras/x86emu/src/x86emu/x86emu/debug.h,v 1.4 2000/11/21 23:10:27 tsi Exp $ */
-
-#ifndef __X86EMU_DEBUG_H
-#define __X86EMU_DEBUG_H
-
-/*---------------------- Macros and type definitions ----------------------*/
-
-/* printf is not available in coreboot... use printk */
-#define printf(x...) printk(BIOS_DEBUG, x)
-
-/* checks to be enabled for "runtime" */
-
-#define CHECK_IP_FETCH_F                0x1
-#define CHECK_SP_ACCESS_F               0x2
-#define CHECK_MEM_ACCESS_F              0x4 /*using regular linear pointer */
-#define CHECK_DATA_ACCESS_F             0x8 /*using segment:offset*/
-
-#ifdef DEBUG
-# define CHECK_IP_FETCH()                      (M.x86.check & CHECK_IP_FETCH_F)
-# define CHECK_SP_ACCESS()                     (M.x86.check & CHECK_SP_ACCESS_F)
-# define CHECK_MEM_ACCESS()                    (M.x86.check & CHECK_MEM_ACCESS_F)
-# define CHECK_DATA_ACCESS()                   (M.x86.check & CHECK_DATA_ACCESS_F)
-#else
-# define CHECK_IP_FETCH()
-# define CHECK_SP_ACCESS()
-# define CHECK_MEM_ACCESS()
-# define CHECK_DATA_ACCESS()
-#endif
-
-#ifdef DEBUG
-# define DEBUG_INSTRUMENT()            (M.x86.debug & DEBUG_INSTRUMENT_F)
-# define DEBUG_DECODE()                (M.x86.debug & DEBUG_DECODE_F)
-# define DEBUG_TRACE()                 (M.x86.debug & DEBUG_TRACE_F)
-# define DEBUG_STEP()                  (M.x86.debug & DEBUG_STEP_F)
-# define DEBUG_DISASSEMBLE()           (M.x86.debug & DEBUG_DISASSEMBLE_F)
-# define DEBUG_BREAK()                 (M.x86.debug & DEBUG_BREAK_F)
-# define DEBUG_SVC()                   (M.x86.debug & DEBUG_SVC_F)
-# define DEBUG_SAVE_IP_CS()     (M.x86.debug & DEBUG_SAVE_IP_CS_F)
-
-# define DEBUG_FS()                    (M.x86.debug & DEBUG_FS_F)
-# define DEBUG_PROC()                  (M.x86.debug & DEBUG_PROC_F)
-# define DEBUG_SYSINT()                (M.x86.debug & DEBUG_SYSINT_F)
-# define DEBUG_TRACECALL()             (M.x86.debug & DEBUG_TRACECALL_F)
-# define DEBUG_TRACECALLREGS()         (M.x86.debug & DEBUG_TRACECALL_REGS_F)
-# define DEBUG_TRACEJMP()       (M.x86.debug & DEBUG_TRACEJMP_F)
-# define DEBUG_TRACEJMPREGS()   (M.x86.debug & DEBUG_TRACEJMP_REGS_F)
-# define DEBUG_SYS()                   (M.x86.debug & DEBUG_SYS_F)
-# define DEBUG_MEM_TRACE()             (M.x86.debug & DEBUG_MEM_TRACE_F)
-# define DEBUG_IO_TRACE()              (M.x86.debug & DEBUG_IO_TRACE_F)
-# define DEBUG_DECODE_NOPRINT() (M.x86.debug & DEBUG_DECODE_NOPRINT_F)
-#else
-# define DEBUG_INSTRUMENT()            0
-# define DEBUG_DECODE()                0
-# define DEBUG_TRACE()                 0
-# define DEBUG_STEP()                  0
-# define DEBUG_DISASSEMBLE()           0
-# define DEBUG_BREAK()                 0
-# define DEBUG_SVC()                   0
-# define DEBUG_SAVE_IP_CS()     0
-# define DEBUG_FS()                    0
-# define DEBUG_PROC()                  0
-# define DEBUG_SYSINT()                0
-# define DEBUG_TRACECALL()             0
-# define DEBUG_TRACECALLREGS()         0
-# define DEBUG_TRACEJMP()       0
-# define DEBUG_TRACEJMPREGS()   0
-# define DEBUG_SYS()                   0
-# define DEBUG_MEM_TRACE()             0
-# define DEBUG_IO_TRACE()              0
-# define DEBUG_DECODE_NOPRINT() 0
-#endif
-
-#ifdef DEBUG
-
-# define DECODE_PRINTF(x)      if (DEBUG_DECODE()) \
-                                                                       x86emu_decode_printf(x)
-# define DECODE_PRINTF2(x,y)   if (DEBUG_DECODE()) \
-                                                                       x86emu_decode_printf2(x,y)
-
-/*
- * The following allow us to look at the bytes of an instruction.  The
- * first INCR_INSTRN_LEN, is called everytime bytes are consumed in
- * the decoding process.  The SAVE_IP_CS is called initially when the
- * major opcode of the instruction is accessed.
- */
-#define INC_DECODED_INST_LEN(x)                        \
-       if (DEBUG_DECODE())                             \
-               x86emu_inc_decoded_inst_len(x)
-
-#define SAVE_IP_CS(x,y)                                                \
-       if (DEBUG_DECODE() | DEBUG_TRACECALL() | DEBUG_BREAK() \
-              | DEBUG_IO_TRACE() | DEBUG_SAVE_IP_CS()) { \
-               M.x86.saved_cs = x;                                             \
-               M.x86.saved_ip = y;                                             \
-       }
-#else
-# define INC_DECODED_INST_LEN(x)
-# define DECODE_PRINTF(x)
-# define DECODE_PRINTF2(x,y)
-# define SAVE_IP_CS(x,y)
-#endif
-
-#ifdef DEBUG
-#define TRACE_REGS()                                                   \
-       if (DEBUG_DISASSEMBLE()) {                                      \
-               x86emu_just_disassemble();                              \
-               goto EndOfTheInstructionProcedure;                      \
-       }                                                       \
-       if (DEBUG_TRACE() || DEBUG_DECODE()) X86EMU_trace_regs()
-#else
-# define TRACE_REGS()
-#endif
-
-#ifdef DEBUG
-# define SINGLE_STEP()         if (DEBUG_STEP()) x86emu_single_step()
-#else
-# define SINGLE_STEP()
-#endif
-
-#define TRACE_AND_STEP()       \
-       TRACE_REGS();                   \
-       SINGLE_STEP()
-
-#ifdef DEBUG
-# define START_OF_INSTR()
-# define END_OF_INSTR()                EndOfTheInstructionProcedure: x86emu_end_instr();
-# define END_OF_INSTR_NO_TRACE()       x86emu_end_instr();
-#else
-# define START_OF_INSTR()
-# define END_OF_INSTR()
-# define END_OF_INSTR_NO_TRACE()
-#endif
-
-#ifdef DEBUG
-# define  CALL_TRACE(u,v,w,x,s)                                 \
-       if (DEBUG_TRACECALLREGS())                                                                      \
-               x86emu_dump_regs();                                     \
-       if (DEBUG_TRACECALL())                                          \
-               printf("%04x:%04x: CALL %s%04x:%04x\n", u , v, s, w, x);
-# define RETURN_TRACE(u,v,w,x,s)                                    \
-       if (DEBUG_TRACECALLREGS())                                                                      \
-               x86emu_dump_regs();                                     \
-       if (DEBUG_TRACECALL())                                          \
-               printf("%04x:%04x: RET %s %04x:%04x\n",u,v,s,w,x);
-# define  JMP_TRACE(u,v,w,x,s)                                 \
-   if (DEBUG_TRACEJMPREGS()) \
-      x86emu_dump_regs(); \
-   if (DEBUG_TRACEJMP()) \
-      printf("%04x:%04x: JMP %s%04x:%04x\n", u , v, s, w, x);
-#else
-# define CALL_TRACE(u,v,w,x,s)
-# define RETURN_TRACE(u,v,w,x,s)
-# define  JMP_TRACE(u,v,w,x,s)
-#endif
-
-#ifdef DEBUG
-#define        DB(x)   x
-#else
-#define        DB(x)
-#endif
-
-/*-------------------------- Function Prototypes --------------------------*/
-
-#ifdef  __cplusplus
-extern "C" {                                   /* Use "C" linkage when in C++ mode */
-#endif
-
-void x86emu_inc_decoded_inst_len (int x);
-void x86emu_decode_printf (const char *x);
-void x86emu_decode_printf2 (const char *x, int y);
-void x86emu_just_disassemble (void);
-void x86emu_single_step (void);
-void x86emu_end_instr (void);
-void x86emu_dump_regs (void);
-void x86emu_dump_xregs (void);
-void x86emu_print_int_vect (u16 iv);
-void x86emu_instrument_instruction (void);
-void x86emu_check_ip_access (void);
-void x86emu_check_sp_access (void);
-void x86emu_check_mem_access (u32 p);
-void x86emu_check_data_access (uint s, uint o);
-
-void disassemble_forward (u16 seg, u16 off, int n);
-
-#ifdef  __cplusplus
-}                                              /* End of "C" linkage for C++           */
-#endif
-
-#endif /* __X86EMU_DEBUG_H */
diff --git a/util/x86emu/x86emu/decode.c b/util/x86emu/x86emu/decode.c
deleted file mode 100644 (file)
index 3d2ba23..0000000
+++ /dev/null
@@ -1,1149 +0,0 @@
-/****************************************************************************
-*
-*                       Realmode X86 Emulator Library
-*
-*               Copyright (C) 1991-2004 SciTech Software, Inc.
-*                    Copyright (C) David Mosberger-Tang
-*                      Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:     ANSI C
-* Environment:  Any
-* Developer:    Kendall Bennett
-*
-* Description:  This file includes subroutines which are related to
-*               instruction decoding and accessess of immediate data via IP.  etc.
-*
-****************************************************************************/
-
-#include "x86emui.h"
-
-/*----------------------------- Implementation ----------------------------*/
-
-/****************************************************************************
-REMARKS:
-Handles any pending asychronous interrupts.
-****************************************************************************/
-static void x86emu_intr_handle(void)
-{
-    u8  intno;
-
-    if (M.x86.intr & INTR_SYNCH) {
-        intno = M.x86.intno;
-        if (_X86EMU_intrTab[intno]) {
-            (*_X86EMU_intrTab[intno])(intno);
-        } else {
-            push_word((u16)M.x86.R_FLG);
-            CLEAR_FLAG(F_IF);
-            CLEAR_FLAG(F_TF);
-            push_word(M.x86.R_CS);
-            M.x86.R_CS = mem_access_word(intno * 4 + 2);
-            push_word(M.x86.R_IP);
-            M.x86.R_IP = mem_access_word(intno * 4);
-            M.x86.intr = 0;
-        }
-    }
-}
-
-/****************************************************************************
-PARAMETERS:
-intrnum - Interrupt number to raise
-
-REMARKS:
-Raise the specified interrupt to be handled before the execution of the
-next instruction.
-****************************************************************************/
-void x86emu_intr_raise(
-    u8 intrnum)
-{
-    printf("%s, raising exeception %x\n", __func__, intrnum);
-    x86emu_dump_regs();
-    M.x86.intno = intrnum;
-    M.x86.intr |= INTR_SYNCH;
-}
-
-/****************************************************************************
-REMARKS:
-Main execution loop for the emulator. We return from here when the system
-halts, which is normally caused by a stack fault when we return from the
-original real mode call.
-****************************************************************************/
-void X86EMU_exec(void)
-{
-    u8 op1;
-
-    M.x86.intr = 0;
-    DB(x86emu_end_instr();)
-
-    for (;;) {
-DB(     if (CHECK_IP_FETCH())
-            x86emu_check_ip_access();)
-        /* If debugging, save the IP and CS values. */
-        SAVE_IP_CS(M.x86.R_CS, M.x86.R_IP);
-        INC_DECODED_INST_LEN(1);
-        if (M.x86.intr) {
-            if (M.x86.intr & INTR_HALTED) {
-DB(             if (M.x86.R_SP != 0) {
-                    printf("halted\n");
-                    X86EMU_trace_regs();
-                    }
-                else {
-                    if (M.x86.debug)
-                        printf("Service completed successfully\n");
-                    })
-                return;
-            }
-            if (((M.x86.intr & INTR_SYNCH) && (M.x86.intno == 0 || M.x86.intno == 2)) ||
-                !ACCESS_FLAG(F_IF)) {
-                x86emu_intr_handle();
-            }
-        }
-        op1 = (*sys_rdb)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP++));
-        (*x86emu_optab[op1])(op1);
-        //if (M.x86.debug & DEBUG_EXIT) {
-        //    M.x86.debug &= ~DEBUG_EXIT;
-        //    return;
-        //}
-    }
-}
-
-/****************************************************************************
-REMARKS:
-Halts the system by setting the halted system flag.
-****************************************************************************/
-void X86EMU_halt_sys(void)
-{
-    M.x86.intr |= INTR_HALTED;
-}
-
-/****************************************************************************
-PARAMETERS:
-mod     - Mod value from decoded byte
-regh    - Reg h value from decoded byte
-regl    - Reg l value from decoded byte
-
-REMARKS:
-Raise the specified interrupt to be handled before the execution of the
-next instruction.
-
-NOTE: Do not inline this function, as (*sys_rdb) is already inline!
-****************************************************************************/
-void fetch_decode_modrm(
-    int *mod,
-    int *regh,
-    int *regl)
-{
-    int fetched;
-
-DB( if (CHECK_IP_FETCH())
-        x86emu_check_ip_access();)
-    fetched = (*sys_rdb)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP++));
-    INC_DECODED_INST_LEN(1);
-    *mod  = (fetched >> 6) & 0x03;
-    *regh = (fetched >> 3) & 0x07;
-    *regl = (fetched >> 0) & 0x07;
-}
-
-/****************************************************************************
-RETURNS:
-Immediate byte value read from instruction queue
-
-REMARKS:
-This function returns the immediate byte from the instruction queue, and
-moves the instruction pointer to the next value.
-
-NOTE: Do not inline this function, as (*sys_rdb) is already inline!
-****************************************************************************/
-u8 fetch_byte_imm(void)
-{
-    u8 fetched;
-
-DB( if (CHECK_IP_FETCH())
-        x86emu_check_ip_access();)
-    fetched = (*sys_rdb)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP++));
-    INC_DECODED_INST_LEN(1);
-    return fetched;
-}
-
-/****************************************************************************
-RETURNS:
-Immediate word value read from instruction queue
-
-REMARKS:
-This function returns the immediate byte from the instruction queue, and
-moves the instruction pointer to the next value.
-
-NOTE: Do not inline this function, as (*sys_rdw) is already inline!
-****************************************************************************/
-u16 fetch_word_imm(void)
-{
-    u16 fetched;
-
-DB( if (CHECK_IP_FETCH())
-        x86emu_check_ip_access();)
-    fetched = (*sys_rdw)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP));
-    M.x86.R_IP += 2;
-    INC_DECODED_INST_LEN(2);
-    return fetched;
-}
-
-/****************************************************************************
-RETURNS:
-Immediate lone value read from instruction queue
-
-REMARKS:
-This function returns the immediate byte from the instruction queue, and
-moves the instruction pointer to the next value.
-
-NOTE: Do not inline this function, as (*sys_rdw) is already inline!
-****************************************************************************/
-u32 fetch_long_imm(void)
-{
-    u32 fetched;
-
-DB( if (CHECK_IP_FETCH())
-        x86emu_check_ip_access();)
-    fetched = (*sys_rdl)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP));
-    M.x86.R_IP += 4;
-    INC_DECODED_INST_LEN(4);
-    return fetched;
-}
-
-/****************************************************************************
-RETURNS:
-Value of the default data segment
-
-REMARKS:
-Inline function that returns the default data segment for the current
-instruction.
-
-On the x86 processor, the default segment is not always DS if there is
-no segment override. Address modes such as -3[BP] or 10[BP+SI] all refer to
-addresses relative to SS (ie: on the stack). So, at the minimum, all
-decodings of addressing modes would have to set/clear a bit describing
-whether the access is relative to DS or SS.  That is the function of the
-cpu-state-varible M.x86.mode. There are several potential states:
-
-    repe prefix seen  (handled elsewhere)
-    repne prefix seen  (ditto)
-
-    cs segment override
-    ds segment override
-    es segment override
-    fs segment override
-    gs segment override
-    ss segment override
-
-    ds/ss select (in absense of override)
-
-Each of the above 7 items are handled with a bit in the mode field.
-****************************************************************************/
-_INLINE u32 get_data_segment(void)
-{
-#define GET_SEGMENT(segment)
-    switch (M.x86.mode & SYSMODE_SEGMASK) {
-      case 0:                   /* default case: use ds register */
-      case SYSMODE_SEGOVR_DS:
-      case SYSMODE_SEGOVR_DS | SYSMODE_SEG_DS_SS:
-        return  M.x86.R_DS;
-      case SYSMODE_SEG_DS_SS:   /* non-overridden, use ss register */
-        return  M.x86.R_SS;
-      case SYSMODE_SEGOVR_CS:
-      case SYSMODE_SEGOVR_CS | SYSMODE_SEG_DS_SS:
-        return  M.x86.R_CS;
-      case SYSMODE_SEGOVR_ES:
-      case SYSMODE_SEGOVR_ES | SYSMODE_SEG_DS_SS:
-        return  M.x86.R_ES;
-      case SYSMODE_SEGOVR_FS:
-      case SYSMODE_SEGOVR_FS | SYSMODE_SEG_DS_SS:
-        return  M.x86.R_FS;
-      case SYSMODE_SEGOVR_GS:
-      case SYSMODE_SEGOVR_GS | SYSMODE_SEG_DS_SS:
-        return  M.x86.R_GS;
-      case SYSMODE_SEGOVR_SS:
-      case SYSMODE_SEGOVR_SS | SYSMODE_SEG_DS_SS:
-        return  M.x86.R_SS;
-      default:
-#ifdef  DEBUG
-        printf("error: should not happen:  multiple overrides.\n");
-#endif
-        HALT_SYS();
-        return 0;
-    }
-}
-
-/****************************************************************************
-PARAMETERS:
-offset  - Offset to load data from
-
-RETURNS:
-Byte value read from the absolute memory location.
-
-NOTE: Do not inline this function as (*sys_rdX) is already inline!
-****************************************************************************/
-u8 fetch_data_byte(
-    uint offset)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access((u16)get_data_segment(), offset);
-#endif
-    return (*sys_rdb)((get_data_segment() << 4) + offset);
-}
-
-/****************************************************************************
-PARAMETERS:
-offset  - Offset to load data from
-
-RETURNS:
-Word value read from the absolute memory location.
-
-NOTE: Do not inline this function as (*sys_rdX) is already inline!
-****************************************************************************/
-u16 fetch_data_word(
-    uint offset)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access((u16)get_data_segment(), offset);
-#endif
-    return (*sys_rdw)((get_data_segment() << 4) + offset);
-}
-
-/****************************************************************************
-PARAMETERS:
-offset  - Offset to load data from
-
-RETURNS:
-Long value read from the absolute memory location.
-
-NOTE: Do not inline this function as (*sys_rdX) is already inline!
-****************************************************************************/
-u32 fetch_data_long(
-    uint offset)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access((u16)get_data_segment(), offset);
-#endif
-    return (*sys_rdl)((get_data_segment() << 4) + offset);
-}
-
-/****************************************************************************
-PARAMETERS:
-segment - Segment to load data from
-offset  - Offset to load data from
-
-RETURNS:
-Byte value read from the absolute memory location.
-
-NOTE: Do not inline this function as (*sys_rdX) is already inline!
-****************************************************************************/
-u8 fetch_data_byte_abs(
-    uint segment,
-    uint offset)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access(segment, offset);
-#endif
-    return (*sys_rdb)(((u32)segment << 4) + offset);
-}
-
-/****************************************************************************
-PARAMETERS:
-segment - Segment to load data from
-offset  - Offset to load data from
-
-RETURNS:
-Word value read from the absolute memory location.
-
-NOTE: Do not inline this function as (*sys_rdX) is already inline!
-****************************************************************************/
-u16 fetch_data_word_abs(
-    uint segment,
-    uint offset)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access(segment, offset);
-#endif
-    return (*sys_rdw)(((u32)segment << 4) + offset);
-}
-
-/****************************************************************************
-PARAMETERS:
-segment - Segment to load data from
-offset  - Offset to load data from
-
-RETURNS:
-Long value read from the absolute memory location.
-
-NOTE: Do not inline this function as (*sys_rdX) is already inline!
-****************************************************************************/
-u32 fetch_data_long_abs(
-    uint segment,
-    uint offset)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access(segment, offset);
-#endif
-    return (*sys_rdl)(((u32)segment << 4) + offset);
-}
-
-/****************************************************************************
-PARAMETERS:
-offset  - Offset to store data at
-val     - Value to store
-
-REMARKS:
-Writes a word value to an segmented memory location. The segment used is
-the current 'default' segment, which may have been overridden.
-
-NOTE: Do not inline this function as (*sys_wrX) is already inline!
-****************************************************************************/
-void store_data_byte(
-    uint offset,
-    u8 val)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access((u16)get_data_segment(), offset);
-#endif
-    (*sys_wrb)((get_data_segment() << 4) + offset, val);
-}
-
-/****************************************************************************
-PARAMETERS:
-offset  - Offset to store data at
-val     - Value to store
-
-REMARKS:
-Writes a word value to an segmented memory location. The segment used is
-the current 'default' segment, which may have been overridden.
-
-NOTE: Do not inline this function as (*sys_wrX) is already inline!
-****************************************************************************/
-void store_data_word(
-    uint offset,
-    u16 val)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access((u16)get_data_segment(), offset);
-#endif
-    (*sys_wrw)((get_data_segment() << 4) + offset, val);
-}
-
-/****************************************************************************
-PARAMETERS:
-offset  - Offset to store data at
-val     - Value to store
-
-REMARKS:
-Writes a long value to an segmented memory location. The segment used is
-the current 'default' segment, which may have been overridden.
-
-NOTE: Do not inline this function as (*sys_wrX) is already inline!
-****************************************************************************/
-void store_data_long(
-    uint offset,
-    u32 val)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access((u16)get_data_segment(), offset);
-#endif
-    (*sys_wrl)((get_data_segment() << 4) + offset, val);
-}
-
-/****************************************************************************
-PARAMETERS:
-segment - Segment to store data at
-offset  - Offset to store data at
-val     - Value to store
-
-REMARKS:
-Writes a byte value to an absolute memory location.
-
-NOTE: Do not inline this function as (*sys_wrX) is already inline!
-****************************************************************************/
-void store_data_byte_abs(
-    uint segment,
-    uint offset,
-    u8 val)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access(segment, offset);
-#endif
-    (*sys_wrb)(((u32)segment << 4) + offset, val);
-}
-
-/****************************************************************************
-PARAMETERS:
-segment - Segment to store data at
-offset  - Offset to store data at
-val     - Value to store
-
-REMARKS:
-Writes a word value to an absolute memory location.
-
-NOTE: Do not inline this function as (*sys_wrX) is already inline!
-****************************************************************************/
-void store_data_word_abs(
-    uint segment,
-    uint offset,
-    u16 val)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access(segment, offset);
-#endif
-    (*sys_wrw)(((u32)segment << 4) + offset, val);
-}
-
-/****************************************************************************
-PARAMETERS:
-segment - Segment to store data at
-offset  - Offset to store data at
-val     - Value to store
-
-REMARKS:
-Writes a long value to an absolute memory location.
-
-NOTE: Do not inline this function as (*sys_wrX) is already inline!
-****************************************************************************/
-void store_data_long_abs(
-    uint segment,
-    uint offset,
-    u32 val)
-{
-#ifdef DEBUG
-    if (CHECK_DATA_ACCESS())
-        x86emu_check_data_access(segment, offset);
-#endif
-    (*sys_wrl)(((u32)segment << 4) + offset, val);
-}
-
-/****************************************************************************
-PARAMETERS:
-reg - Register to decode
-
-RETURNS:
-Pointer to the appropriate register
-
-REMARKS:
-Return a pointer to the register given by the R/RM field of the
-modrm byte, for byte operands. Also enables the decoding of instructions.
-****************************************************************************/
-u8* decode_rm_byte_register(
-    int reg)
-{
-    switch (reg) {
-      case 0:
-        DECODE_PRINTF("AL");
-        return &M.x86.R_AL;
-      case 1:
-        DECODE_PRINTF("CL");
-        return &M.x86.R_CL;
-      case 2:
-        DECODE_PRINTF("DL");
-        return &M.x86.R_DL;
-      case 3:
-        DECODE_PRINTF("BL");
-        return &M.x86.R_BL;
-      case 4:
-        DECODE_PRINTF("AH");
-        return &M.x86.R_AH;
-      case 5:
-        DECODE_PRINTF("CH");
-        return &M.x86.R_CH;
-      case 6:
-        DECODE_PRINTF("DH");
-        return &M.x86.R_DH;
-      case 7:
-        DECODE_PRINTF("BH");
-        return &M.x86.R_BH;
-    }
-    HALT_SYS();
-    return NULL;                /* NOT REACHED OR REACHED ON ERROR */
-}
-
-/****************************************************************************
-PARAMETERS:
-reg - Register to decode
-
-RETURNS:
-Pointer to the appropriate register
-
-REMARKS:
-Return a pointer to the register given by the R/RM field of the
-modrm byte, for word operands.  Also enables the decoding of instructions.
-****************************************************************************/
-u16* decode_rm_word_register(
-    int reg)
-{
-    switch (reg) {
-      case 0:
-        DECODE_PRINTF("AX");
-        return &M.x86.R_AX;
-      case 1:
-        DECODE_PRINTF("CX");
-        return &M.x86.R_CX;
-      case 2:
-        DECODE_PRINTF("DX");
-        return &M.x86.R_DX;
-      case 3:
-        DECODE_PRINTF("BX");
-        return &M.x86.R_BX;
-      case 4:
-        DECODE_PRINTF("SP");
-        return &M.x86.R_SP;
-      case 5:
-        DECODE_PRINTF("BP");
-        return &M.x86.R_BP;
-      case 6:
-        DECODE_PRINTF("SI");
-        return &M.x86.R_SI;
-      case 7:
-        DECODE_PRINTF("DI");
-        return &M.x86.R_DI;
-    }
-    HALT_SYS();
-    return NULL;                /* NOTREACHED OR REACHED ON ERROR */
-}
-
-/****************************************************************************
-PARAMETERS:
-reg - Register to decode
-
-RETURNS:
-Pointer to the appropriate register
-
-REMARKS:
-Return a pointer to the register given by the R/RM field of the
-modrm byte, for dword operands.  Also enables the decoding of instructions.
-****************************************************************************/
-u32* decode_rm_long_register(
-    int reg)
-{
-    switch (reg) {
-      case 0:
-        DECODE_PRINTF("EAX");
-        return &M.x86.R_EAX;
-      case 1:
-        DECODE_PRINTF("ECX");
-        return &M.x86.R_ECX;
-      case 2:
-        DECODE_PRINTF("EDX");
-        return &M.x86.R_EDX;
-      case 3:
-        DECODE_PRINTF("EBX");
-        return &M.x86.R_EBX;
-      case 4:
-        DECODE_PRINTF("ESP");
-        return &M.x86.R_ESP;
-      case 5:
-        DECODE_PRINTF("EBP");
-        return &M.x86.R_EBP;
-      case 6:
-        DECODE_PRINTF("ESI");
-        return &M.x86.R_ESI;
-      case 7:
-        DECODE_PRINTF("EDI");
-        return &M.x86.R_EDI;
-    }
-    HALT_SYS();
-    return NULL;                /* NOTREACHED OR REACHED ON ERROR */
-}
-
-/****************************************************************************
-PARAMETERS:
-reg - Register to decode
-
-RETURNS:
-Pointer to the appropriate register
-
-REMARKS:
-Return a pointer to the register given by the R/RM field of the
-modrm byte, for word operands, modified from above for the weirdo
-special case of segreg operands.  Also enables the decoding of instructions.
-****************************************************************************/
-u16* decode_rm_seg_register(
-    int reg)
-{
-    switch (reg) {
-      case 0:
-        DECODE_PRINTF("ES");
-        return &M.x86.R_ES;
-      case 1:
-        DECODE_PRINTF("CS");
-        return &M.x86.R_CS;
-      case 2:
-        DECODE_PRINTF("SS");
-        return &M.x86.R_SS;
-      case 3:
-        DECODE_PRINTF("DS");
-        return &M.x86.R_DS;
-      case 4:
-        DECODE_PRINTF("FS");
-        return &M.x86.R_FS;
-      case 5:
-        DECODE_PRINTF("GS");
-        return &M.x86.R_GS;
-      case 6:
-      case 7:
-        DECODE_PRINTF("ILLEGAL SEGREG");
-        break;
-    }
-    HALT_SYS();
-    return NULL;                /* NOT REACHED OR REACHED ON ERROR */
-}
-
-/****************************************************************************
-PARAMETERS:
-scale - scale value of SIB byte
-index - index value of SIB byte
-
-RETURNS:
-Value of scale * index
-
-REMARKS:
-Decodes scale/index of SIB byte and returns relevant offset part of 
-effective address.
-****************************************************************************/
-static unsigned decode_sib_si(
-    int scale,
-    int index)
-{
-    scale = 1 << scale;
-    if (scale > 1) {
-        DECODE_PRINTF2("[%d*", scale);
-    } else {
-        DECODE_PRINTF("[");
-    }
-    switch (index) {
-      case 0:
-        DECODE_PRINTF("EAX]");
-        return M.x86.R_EAX * index;
-      case 1:
-        DECODE_PRINTF("ECX]");
-        return M.x86.R_ECX * index;
-      case 2:
-        DECODE_PRINTF("EDX]");
-        return M.x86.R_EDX * index;
-      case 3:
-        DECODE_PRINTF("EBX]");
-        return M.x86.R_EBX * index;
-      case 4:
-        DECODE_PRINTF("0]");
-        return 0;
-      case 5:
-        DECODE_PRINTF("EBP]");
-        return M.x86.R_EBP * index;
-      case 6:
-        DECODE_PRINTF("ESI]");
-        return M.x86.R_ESI * index;
-      case 7:
-        DECODE_PRINTF("EDI]");
-        return M.x86.R_EDI * index;
-    }
-    HALT_SYS();
-    return 0;                   /* NOT REACHED OR REACHED ON ERROR */
-}
-
-/****************************************************************************
-PARAMETERS:
-mod - MOD value of preceding ModR/M byte
-
-RETURNS:
-Offset in memory for the address decoding
-
-REMARKS:
-Decodes SIB addressing byte and returns calculated effective address.
-****************************************************************************/
-static unsigned decode_sib_address(
-    int mod)
-{
-    int sib   = fetch_byte_imm();
-    int ss    = (sib >> 6) & 0x03;
-    int index = (sib >> 3) & 0x07;
-    int base  = sib & 0x07;
-    int offset = 0;
-    int displacement;
-
-    switch (base) {
-      case 0:
-        DECODE_PRINTF("[EAX]");
-        offset = M.x86.R_EAX;
-        break;
-      case 1:
-        DECODE_PRINTF("[ECX]");
-        offset = M.x86.R_ECX;
-        break;
-      case 2:
-        DECODE_PRINTF("[EDX]");
-        offset = M.x86.R_EDX;
-        break;
-      case 3:
-        DECODE_PRINTF("[EBX]");
-        offset = M.x86.R_EBX;
-        break;
-      case 4:
-        DECODE_PRINTF("[ESP]");
-        offset = M.x86.R_ESP;
-        break;
-      case 5:
-        switch (mod) {
-          case 0:
-            displacement = (s32)fetch_long_imm();
-            DECODE_PRINTF2("[%d]", displacement);
-            offset = displacement;
-            break;
-          case 1:
-            displacement = (s8)fetch_byte_imm();
-            DECODE_PRINTF2("[%d][EBP]", displacement);
-            offset = M.x86.R_EBP + displacement;
-            break;
-          case 2:
-            displacement = (s32)fetch_long_imm();
-            DECODE_PRINTF2("[%d][EBP]", displacement);
-            offset = M.x86.R_EBP + displacement;
-            break;
-          default:
-            HALT_SYS();
-        }
-        DECODE_PRINTF("[EAX]");
-        offset = M.x86.R_EAX;
-        break;
-      case 6:
-        DECODE_PRINTF("[ESI]");
-        offset = M.x86.R_ESI;
-        break;
-      case 7:
-        DECODE_PRINTF("[EDI]");
-        offset = M.x86.R_EDI;
-        break;
-      default:
-        HALT_SYS();
-    }
-    offset += decode_sib_si(ss, index);
-    return offset;
-}
-
-/****************************************************************************
-PARAMETERS:
-rm  - RM value to decode
-
-RETURNS:
-Offset in memory for the address decoding
-
-REMARKS:
-Return the offset given by mod=00 addressing.  Also enables the
-decoding of instructions.
-
-NOTE:   The code which specifies the corresponding segment (ds vs ss)
-        below in the case of [BP+..].  The assumption here is that at the
-        point that this subroutine is called, the bit corresponding to
-        SYSMODE_SEG_DS_SS will be zero.  After every instruction
-        except the segment override instructions, this bit (as well
-        as any bits indicating segment overrides) will be clear.  So
-        if a SS access is needed, set this bit.  Otherwise, DS access
-        occurs (unless any of the segment override bits are set).
-****************************************************************************/
-unsigned decode_rm00_address(
-    int rm)
-{
-    unsigned offset;
-
-    if (M.x86.mode & SYSMODE_PREFIX_ADDR) {
-        /* 32-bit addressing */
-        switch (rm) {
-          case 0:
-            DECODE_PRINTF("[EAX]");
-            return M.x86.R_EAX;
-          case 1:
-            DECODE_PRINTF("[ECX]");
-            return M.x86.R_ECX;
-          case 2:
-            DECODE_PRINTF("[EDX]");
-            return M.x86.R_EDX;
-          case 3:
-            DECODE_PRINTF("[EBX]");
-            return M.x86.R_EBX;
-          case 4:
-            return decode_sib_address(0);
-          case 5:
-            offset = fetch_long_imm();
-            DECODE_PRINTF2("[%08x]", offset);
-            return offset;
-          case 6:
-            DECODE_PRINTF("[ESI]");
-            return M.x86.R_ESI;
-          case 7:
-            DECODE_PRINTF("[EDI]");
-            return M.x86.R_EDI;
-        }
-    } else {
-        /* 16-bit addressing */
-        switch (rm) {
-          case 0:
-            DECODE_PRINTF("[BX+SI]");
-            return (M.x86.R_BX + M.x86.R_SI) & 0xffff;
-          case 1:
-            DECODE_PRINTF("[BX+DI]");
-            return (M.x86.R_BX + M.x86.R_DI) & 0xffff;
-          case 2:
-            DECODE_PRINTF("[BP+SI]");
-            M.x86.mode |= SYSMODE_SEG_DS_SS;
-            return (M.x86.R_BP + M.x86.R_SI) & 0xffff;
-          case 3:
-            DECODE_PRINTF("[BP+DI]");
-            M.x86.mode |= SYSMODE_SEG_DS_SS;
-            return (M.x86.R_BP + M.x86.R_DI) & 0xffff;
-          case 4:
-            DECODE_PRINTF("[SI]");
-            return M.x86.R_SI;
-          case 5:
-            DECODE_PRINTF("[DI]");
-            return M.x86.R_DI;
-          case 6:
-            offset = fetch_word_imm();
-            DECODE_PRINTF2("[%04x]", offset);
-            return offset;
-          case 7:
-            DECODE_PRINTF("[BX]");
-            return M.x86.R_BX;
-        }
-    }
-    HALT_SYS();
-    return 0;
-}
-
-/****************************************************************************
-PARAMETERS:
-rm  - RM value to decode
-
-RETURNS:
-Offset in memory for the address decoding
-
-REMARKS:
-Return the offset given by mod=01 addressing.  Also enables the
-decoding of instructions.
-****************************************************************************/
-unsigned decode_rm01_address(
-    int rm)
-{
-    int displacement;
-
-    if (M.x86.mode & SYSMODE_PREFIX_ADDR) {
-        /* 32-bit addressing */
-        if (rm != 4)
-            displacement = (s8)fetch_byte_imm();
-        else
-            displacement = 0;
-
-        switch (rm) {
-          case 0:
-            DECODE_PRINTF2("%d[EAX]", displacement);
-            return M.x86.R_EAX + displacement;
-          case 1:
-            DECODE_PRINTF2("%d[ECX]", displacement);
-            return M.x86.R_ECX + displacement;
-          case 2:
-            DECODE_PRINTF2("%d[EDX]", displacement);
-            return M.x86.R_EDX + displacement;
-          case 3:
-            DECODE_PRINTF2("%d[EBX]", displacement);
-            return M.x86.R_EBX + displacement;
-          case 4: {
-            int offset = decode_sib_address(1);
-            displacement = (s8)fetch_byte_imm();
-            DECODE_PRINTF2("[%d]", displacement);
-            return offset + displacement;
-          }
-          case 5:
-            DECODE_PRINTF2("%d[EBP]", displacement);
-            return M.x86.R_EBP + displacement;
-          case 6:
-            DECODE_PRINTF2("%d[ESI]", displacement);
-            return M.x86.R_ESI + displacement;
-          case 7:
-            DECODE_PRINTF2("%d[EDI]", displacement);
-            return M.x86.R_EDI + displacement;
-        }
-    } else {
-        /* 16-bit addressing */
-        displacement = (s8)fetch_byte_imm();
-        switch (rm) {
-          case 0:
-            DECODE_PRINTF2("%d[BX+SI]", displacement);
-            return (M.x86.R_BX + M.x86.R_SI + displacement) & 0xffff;
-          case 1:
-            DECODE_PRINTF2("%d[BX+DI]", displacement);
-            return (M.x86.R_BX + M.x86.R_DI + displacement) & 0xffff;
-          case 2:
-            DECODE_PRINTF2("%d[BP+SI]", displacement);
-            M.x86.mode |= SYSMODE_SEG_DS_SS;
-            return (M.x86.R_BP + M.x86.R_SI + displacement) & 0xffff;
-          case 3:
-            DECODE_PRINTF2("%d[BP+DI]", displacement);
-            M.x86.mode |= SYSMODE_SEG_DS_SS;
-            return (M.x86.R_BP + M.x86.R_DI + displacement) & 0xffff;
-          case 4:
-            DECODE_PRINTF2("%d[SI]", displacement);
-            return (M.x86.R_SI + displacement) & 0xffff;
-          case 5:
-            DECODE_PRINTF2("%d[DI]", displacement);
-            return (M.x86.R_DI + displacement) & 0xffff;
-          case 6:
-            DECODE_PRINTF2("%d[BP]", displacement);
-            M.x86.mode |= SYSMODE_SEG_DS_SS;
-            return (M.x86.R_BP + displacement) & 0xffff;
-          case 7:
-            DECODE_PRINTF2("%d[BX]", displacement);
-            return (M.x86.R_BX + displacement) & 0xffff;
-        }
-    }
-    HALT_SYS();
-    return 0;                   /* SHOULD NOT HAPPEN */
-}
-
-/****************************************************************************
-PARAMETERS:
-rm  - RM value to decode
-
-RETURNS:
-Offset in memory for the address decoding
-
-REMARKS:
-Return the offset given by mod=10 addressing.  Also enables the
-decoding of instructions.
-****************************************************************************/
-unsigned decode_rm10_address(
-    int rm)
-{
-    if (M.x86.mode & SYSMODE_PREFIX_ADDR) {
-        int displacement;
-
-        /* 32-bit addressing */
-        if (rm != 4)
-            displacement = (s32)fetch_long_imm();
-        else
-            displacement = 0;
-
-        switch (rm) {
-          case 0:
-            DECODE_PRINTF2("%d[EAX]", displacement);
-            return M.x86.R_EAX + displacement;
-          case 1:
-            DECODE_PRINTF2("%d[ECX]", displacement);
-            return M.x86.R_ECX + displacement;
-          case 2:
-            DECODE_PRINTF2("%d[EDX]", displacement);
-            return M.x86.R_EDX + displacement;
-          case 3:
-            DECODE_PRINTF2("%d[EBX]", displacement);
-            return M.x86.R_EBX + displacement;
-          case 4: {
-            int offset = decode_sib_address(2);
-            displacement = (s32)fetch_long_imm();
-            DECODE_PRINTF2("[%d]", displacement);
-            return offset + displacement;
-          }
-          case 5:
-            DECODE_PRINTF2("%d[EBP]", displacement);
-            return M.x86.R_EBP + displacement;
-          case 6:
-            DECODE_PRINTF2("%d[ESI]", displacement);
-            return M.x86.R_ESI + displacement;
-          case 7:
-            DECODE_PRINTF2("%d[EDI]", displacement);
-            return M.x86.R_EDI + displacement;
-        }
-    } else {
-        int displacement = (s16)fetch_word_imm();
-
-        /* 16-bit addressing */
-        switch (rm) {
-          case 0:
-            DECODE_PRINTF2("%d[BX+SI]", displacement);
-            return (M.x86.R_BX + M.x86.R_SI + displacement) & 0xffff;
-          case 1:
-            DECODE_PRINTF2("%d[BX+DI]", displacement);
-            return (M.x86.R_BX + M.x86.R_DI + displacement) & 0xffff;
-          case 2:
-            DECODE_PRINTF2("%d[BP+SI]", displacement);
-            M.x86.mode |= SYSMODE_SEG_DS_SS;
-            return (M.x86.R_BP + M.x86.R_SI + displacement) & 0xffff;
-          case 3:
-            DECODE_PRINTF2("%d[BP+DI]", displacement);
-            M.x86.mode |= SYSMODE_SEG_DS_SS;
-            return (M.x86.R_BP + M.x86.R_DI + displacement) & 0xffff;
-          case 4:
-            DECODE_PRINTF2("%d[SI]", displacement);
-            return (M.x86.R_SI + displacement) & 0xffff;
-          case 5:
-            DECODE_PRINTF2("%d[DI]", displacement);
-            return (M.x86.R_DI + displacement) & 0xffff;
-          case 6:
-            DECODE_PRINTF2("%d[BP]", displacement);
-            M.x86.mode |= SYSMODE_SEG_DS_SS;
-            return (M.x86.R_BP + displacement) & 0xffff;
-          case 7:
-            DECODE_PRINTF2("%d[BX]", displacement);
-            return (M.x86.R_BX + displacement) & 0xffff;
-        }
-    }
-    HALT_SYS();
-    return 0;                   /* SHOULD NOT HAPPEN */
-}
-
-
-/****************************************************************************
-PARAMETERS:
-mod - modifier
-rm  - RM value to decode
-
-RETURNS:
-Offset in memory for the address decoding, multiplexing calls to
-the decode_rmXX_address functions
-
-REMARKS:
-Return the offset given by "mod" addressing.
-****************************************************************************/
-
-unsigned decode_rmXX_address(int mod, int rm)
-{
-  if(mod == 0)
-    return decode_rm00_address(rm);
-  if(mod == 1)
-    return decode_rm01_address(rm);
-  return decode_rm10_address(rm);
-}
-
-
-
diff --git a/util/x86emu/x86emu/decode.h b/util/x86emu/x86emu/decode.h
deleted file mode 100644 (file)
index 99ed7f6..0000000
+++ /dev/null
@@ -1,88 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  Header file for instruction decoding logic.
-*
-****************************************************************************/
-
-#ifndef __X86EMU_DECODE_H
-#define __X86EMU_DECODE_H
-
-/*---------------------- Macros and type definitions ----------------------*/
-
-/* Instruction Decoding Stuff */
-
-#define FETCH_DECODE_MODRM(mod,rh,rl)  fetch_decode_modrm(&mod,&rh,&rl)
-#define DECODE_RM_BYTE_REGISTER(r)     decode_rm_byte_register(r)
-#define DECODE_RM_WORD_REGISTER(r)     decode_rm_word_register(r)
-#define DECODE_RM_LONG_REGISTER(r)     decode_rm_long_register(r)
-#define DECODE_CLEAR_SEGOVR()          M.x86.mode &= ~SYSMODE_CLRMASK
-
-/*-------------------------- Function Prototypes --------------------------*/
-
-#ifdef  __cplusplus
-extern "C" {                                   /* Use "C" linkage when in C++ mode */
-#endif
-
-void   x86emu_intr_raise (u8 type);
-void    fetch_decode_modrm (int *mod,int *regh,int *regl);
-u8      fetch_byte_imm (void);
-u16     fetch_word_imm (void);
-u32     fetch_long_imm (void);
-u8      fetch_data_byte (uint offset);
-u8      fetch_data_byte_abs (uint segment, uint offset);
-u16     fetch_data_word (uint offset);
-u16     fetch_data_word_abs (uint segment, uint offset);
-u32     fetch_data_long (uint offset);
-u32     fetch_data_long_abs (uint segment, uint offset);
-void    store_data_byte (uint offset, u8 val);
-void    store_data_byte_abs (uint segment, uint offset, u8 val);
-void    store_data_word (uint offset, u16 val);
-void    store_data_word_abs (uint segment, uint offset, u16 val);
-void    store_data_long (uint offset, u32 val);
-void    store_data_long_abs (uint segment, uint offset, u32 val);
-u8*    decode_rm_byte_register(int reg);
-u16*   decode_rm_word_register(int reg);
-u32*   decode_rm_long_register(int reg);
-u16*   decode_rm_seg_register(int reg);
-unsigned decode_rm00_address(int rm);
-unsigned decode_rm01_address(int rm);
-unsigned decode_rm10_address(int rm);
-unsigned decode_rmXX_address(int mod, int rm);
-
-#ifdef  __cplusplus
-}                                              /* End of "C" linkage for C++           */
-#endif
-
-#endif /* __X86EMU_DECODE_H */
diff --git a/util/x86emu/x86emu/fpu.c b/util/x86emu/x86emu/fpu.c
deleted file mode 100644 (file)
index daa2ffa..0000000
+++ /dev/null
@@ -1,945 +0,0 @@
-/****************************************************************************
-*
-*                       Realmode X86 Emulator Library
-*
-*               Copyright (C) 1991-2004 SciTech Software, Inc.
-*                    Copyright (C) David Mosberger-Tang
-*                      Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:     ANSI C
-* Environment:  Any
-* Developer:    Kendall Bennett
-*
-* Description:  This file contains the code to implement the decoding and
-*               emulation of the FPU instructions.
-*
-****************************************************************************/
-
-#include "x86emui.h"
-
-/*----------------------------- Implementation ----------------------------*/
-
-/* opcode=0xd8 */
-void x86emuOp_esc_coprocess_d8(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("ESC D8\n");
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR_NO_TRACE();
-}
-
-#ifdef DEBUG
-
-static const char *x86emu_fpu_op_d9_tab[] = {
-    "FLD\tDWORD PTR ", "ESC_D9\t", "FST\tDWORD PTR ", "FSTP\tDWORD PTR ",
-    "FLDENV\t", "FLDCW\t", "FSTENV\t", "FSTCW\t",
-
-    "FLD\tDWORD PTR ", "ESC_D9\t", "FST\tDWORD PTR ", "FSTP\tDWORD PTR ",
-    "FLDENV\t", "FLDCW\t", "FSTENV\t", "FSTCW\t",
-
-    "FLD\tDWORD PTR ", "ESC_D9\t", "FST\tDWORD PTR ", "FSTP\tDWORD PTR ",
-    "FLDENV\t", "FLDCW\t", "FSTENV\t", "FSTCW\t",
-};
-
-static const char *x86emu_fpu_op_d9_tab1[] = {
-    "FLD\t", "FLD\t", "FLD\t", "FLD\t",
-    "FLD\t", "FLD\t", "FLD\t", "FLD\t",
-
-    "FXCH\t", "FXCH\t", "FXCH\t", "FXCH\t",
-    "FXCH\t", "FXCH\t", "FXCH\t", "FXCH\t",
-
-    "FNOP", "ESC_D9", "ESC_D9", "ESC_D9",
-    "ESC_D9", "ESC_D9", "ESC_D9", "ESC_D9",
-
-    "FSTP\t", "FSTP\t", "FSTP\t", "FSTP\t",
-    "FSTP\t", "FSTP\t", "FSTP\t", "FSTP\t",
-
-    "FCHS", "FABS", "ESC_D9", "ESC_D9",
-    "FTST", "FXAM", "ESC_D9", "ESC_D9",
-
-    "FLD1", "FLDL2T", "FLDL2E", "FLDPI",
-    "FLDLG2", "FLDLN2", "FLDZ", "ESC_D9",
-
-    "F2XM1", "FYL2X", "FPTAN", "FPATAN",
-    "FXTRACT", "ESC_D9", "FDECSTP", "FINCSTP",
-
-    "FPREM", "FYL2XP1", "FSQRT", "ESC_D9",
-    "FRNDINT", "FSCALE", "ESC_D9", "ESC_D9",
-};
-
-#endif /* DEBUG */
-
-/* opcode=0xd9 */
-void x86emuOp_esc_coprocess_d9(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8 stkelem;
-
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (mod != 3) {
-        DECODE_PRINTINSTR32(x86emu_fpu_op_d9_tab, mod, rh, rl);
-    } else {
-        DECODE_PRINTF(x86emu_fpu_op_d9_tab1[(rh << 3) + rl]);
-    }
-#endif
-    switch (mod) {
-      case 0:
-        destoffset = decode_rm00_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 1:
-        destoffset = decode_rm01_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 2:
-        destoffset = decode_rm10_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 3:                   /* register to register */
-        stkelem = (u8)rl;
-        if (rh < 4) {
-                DECODE_PRINTF2("ST(%d)\n", stkelem);
-        } else {
-                DECODE_PRINTF("\n");
-        }
-        break;
-    }
-#ifdef X86EMU_FPU_PRESENT
-    /* execute */
-    switch (mod) {
-      case 3:
-        switch (rh) {
-          case 0:
-            x86emu_fpu_R_fld(X86EMU_FPU_STKTOP, stkelem);
-            break;
-          case 1:
-            x86emu_fpu_R_fxch(X86EMU_FPU_STKTOP, stkelem);
-            break;
-          case 2:
-            switch (rl) {
-              case 0:
-                x86emu_fpu_R_nop();
-                break;
-              default:
-                x86emu_fpu_illegal();
-                break;
-            }
-          case 3:
-            x86emu_fpu_R_fstp(X86EMU_FPU_STKTOP, stkelem);
-            break;
-          case 4:
-            switch (rl) {
-            case 0:
-                x86emu_fpu_R_fchs(X86EMU_FPU_STKTOP);
-                break;
-            case 1:
-                x86emu_fpu_R_fabs(X86EMU_FPU_STKTOP);
-                break;
-            case 4:
-                x86emu_fpu_R_ftst(X86EMU_FPU_STKTOP);
-                break;
-            case 5:
-                x86emu_fpu_R_fxam(X86EMU_FPU_STKTOP);
-                break;
-            default:
-                /* 2,3,6,7 */
-                x86emu_fpu_illegal();
-                break;
-            }
-            break;
-
-          case 5:
-            switch (rl) {
-              case 0:
-                x86emu_fpu_R_fld1(X86EMU_FPU_STKTOP);
-                break;
-              case 1:
-                x86emu_fpu_R_fldl2t(X86EMU_FPU_STKTOP);
-                break;
-              case 2:
-                x86emu_fpu_R_fldl2e(X86EMU_FPU_STKTOP);
-                break;
-              case 3:
-                x86emu_fpu_R_fldpi(X86EMU_FPU_STKTOP);
-                break;
-              case 4:
-                x86emu_fpu_R_fldlg2(X86EMU_FPU_STKTOP);
-                break;
-              case 5:
-                x86emu_fpu_R_fldln2(X86EMU_FPU_STKTOP);
-                break;
-              case 6:
-                x86emu_fpu_R_fldz(X86EMU_FPU_STKTOP);
-                break;
-              default:
-                /* 7 */
-                x86emu_fpu_illegal();
-                break;
-            }
-            break;
-
-          case 6:
-            switch (rl) {
-              case 0:
-                x86emu_fpu_R_f2xm1(X86EMU_FPU_STKTOP);
-                break;
-              case 1:
-                x86emu_fpu_R_fyl2x(X86EMU_FPU_STKTOP);
-                break;
-              case 2:
-                x86emu_fpu_R_fptan(X86EMU_FPU_STKTOP);
-                break;
-              case 3:
-                x86emu_fpu_R_fpatan(X86EMU_FPU_STKTOP);
-                break;
-              case 4:
-                x86emu_fpu_R_fxtract(X86EMU_FPU_STKTOP);
-                break;
-              case 5:
-                x86emu_fpu_illegal();
-                break;
-              case 6:
-                x86emu_fpu_R_decstp();
-                break;
-              case 7:
-                x86emu_fpu_R_incstp();
-                break;
-            }
-            break;
-
-          case 7:
-            switch (rl) {
-              case 0:
-                x86emu_fpu_R_fprem(X86EMU_FPU_STKTOP);
-                break;
-              case 1:
-                x86emu_fpu_R_fyl2xp1(X86EMU_FPU_STKTOP);
-                break;
-              case 2:
-                x86emu_fpu_R_fsqrt(X86EMU_FPU_STKTOP);
-                break;
-              case 3:
-                x86emu_fpu_illegal();
-                break;
-              case 4:
-                x86emu_fpu_R_frndint(X86EMU_FPU_STKTOP);
-                break;
-              case 5:
-                x86emu_fpu_R_fscale(X86EMU_FPU_STKTOP);
-                break;
-              case 6:
-              case 7:
-              default:
-                x86emu_fpu_illegal();
-                break;
-            }
-            break;
-
-          default:
-            switch (rh) {
-              case 0:
-                x86emu_fpu_M_fld(X86EMU_FPU_FLOAT, destoffset);
-                break;
-              case 1:
-                x86emu_fpu_illegal();
-                break;
-              case 2:
-                x86emu_fpu_M_fst(X86EMU_FPU_FLOAT, destoffset);
-                break;
-              case 3:
-                x86emu_fpu_M_fstp(X86EMU_FPU_FLOAT, destoffset);
-                break;
-              case 4:
-                x86emu_fpu_M_fldenv(X86EMU_FPU_WORD, destoffset);
-                break;
-              case 5:
-                x86emu_fpu_M_fldcw(X86EMU_FPU_WORD, destoffset);
-                break;
-              case 6:
-                x86emu_fpu_M_fstenv(X86EMU_FPU_WORD, destoffset);
-                break;
-              case 7:
-                x86emu_fpu_M_fstcw(X86EMU_FPU_WORD, destoffset);
-                break;
-            }
-        }
-    }
-#endif /* X86EMU_FPU_PRESENT */
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR_NO_TRACE();
-}
-
-#ifdef DEBUG
-
-static const char *x86emu_fpu_op_da_tab[] = {
-    "FIADD\tDWORD PTR ", "FIMUL\tDWORD PTR ", "FICOM\tDWORD PTR ",
-    "FICOMP\tDWORD PTR ",
-    "FISUB\tDWORD PTR ", "FISUBR\tDWORD PTR ", "FIDIV\tDWORD PTR ",
-    "FIDIVR\tDWORD PTR ",
-
-    "FIADD\tDWORD PTR ", "FIMUL\tDWORD PTR ", "FICOM\tDWORD PTR ",
-    "FICOMP\tDWORD PTR ",
-    "FISUB\tDWORD PTR ", "FISUBR\tDWORD PTR ", "FIDIV\tDWORD PTR ",
-    "FIDIVR\tDWORD PTR ",
-
-    "FIADD\tDWORD PTR ", "FIMUL\tDWORD PTR ", "FICOM\tDWORD PTR ",
-    "FICOMP\tDWORD PTR ",
-    "FISUB\tDWORD PTR ", "FISUBR\tDWORD PTR ", "FIDIV\tDWORD PTR ",
-    "FIDIVR\tDWORD PTR ",
-
-    "ESC_DA ", "ESC_DA ", "ESC_DA ", "ESC_DA ",
-    "ESC_DA     ", "ESC_DA ", "ESC_DA   ", "ESC_DA ",
-};
-
-#endif /* DEBUG */
-
-/* opcode=0xda */
-void x86emuOp_esc_coprocess_da(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8 stkelem;
-
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    DECODE_PRINTINSTR32(x86emu_fpu_op_da_tab, mod, rh, rl);
-    switch (mod) {
-      case 0:
-        destoffset = decode_rm00_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 1:
-        destoffset = decode_rm01_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 2:
-        destoffset = decode_rm10_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 3:           /* register to register */
-        stkelem = (u8)rl;
-        DECODE_PRINTF2("\tST(%d),ST\n", stkelem);
-        break;
-    }
-#ifdef X86EMU_FPU_PRESENT
-    switch (mod) {
-      case 3:
-        x86emu_fpu_illegal();
-        break;
-      default:
-        switch (rh) {
-          case 0:
-            x86emu_fpu_M_iadd(X86EMU_FPU_SHORT, destoffset);
-            break;
-          case 1:
-            x86emu_fpu_M_imul(X86EMU_FPU_SHORT, destoffset);
-            break;
-          case 2:
-            x86emu_fpu_M_icom(X86EMU_FPU_SHORT, destoffset);
-            break;
-          case 3:
-            x86emu_fpu_M_icomp(X86EMU_FPU_SHORT, destoffset);
-            break;
-          case 4:
-            x86emu_fpu_M_isub(X86EMU_FPU_SHORT, destoffset);
-            break;
-          case 5:
-            x86emu_fpu_M_isubr(X86EMU_FPU_SHORT, destoffset);
-            break;
-          case 6:
-            x86emu_fpu_M_idiv(X86EMU_FPU_SHORT, destoffset);
-            break;
-          case 7:
-            x86emu_fpu_M_idivr(X86EMU_FPU_SHORT, destoffset);
-            break;
-        }
-    }
-#endif
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR_NO_TRACE();
-}
-
-#ifdef DEBUG
-
-static const char *x86emu_fpu_op_db_tab[] = {
-    "FILD\tDWORD PTR ", "ESC_DB\t19", "FIST\tDWORD PTR ", "FISTP\tDWORD PTR ",
-    "ESC_DB\t1C", "FLD\tTBYTE PTR ", "ESC_DB\t1E", "FSTP\tTBYTE PTR ",
-
-    "FILD\tDWORD PTR ", "ESC_DB\t19", "FIST\tDWORD PTR ", "FISTP\tDWORD PTR ",
-    "ESC_DB\t1C", "FLD\tTBYTE PTR ", "ESC_DB\t1E", "FSTP\tTBYTE PTR ",
-
-    "FILD\tDWORD PTR ", "ESC_DB\t19", "FIST\tDWORD PTR ", "FISTP\tDWORD PTR ",
-    "ESC_DB\t1C", "FLD\tTBYTE PTR ", "ESC_DB\t1E", "FSTP\tTBYTE PTR ",
-};
-
-#endif /* DEBUG */
-
-/* opcode=0xdb */
-void x86emuOp_esc_coprocess_db(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (mod != 3) {
-        DECODE_PRINTINSTR32(x86emu_fpu_op_db_tab, mod, rh, rl);
-    } else if (rh == 4) {       /* === 11 10 0 nnn */
-        switch (rl) {
-          case 0:
-            DECODE_PRINTF("FENI\n");
-            break;
-          case 1:
-            DECODE_PRINTF("FDISI\n");
-            break;
-          case 2:
-            DECODE_PRINTF("FCLEX\n");
-            break;
-          case 3:
-            DECODE_PRINTF("FINIT\n");
-            break;
-        }
-    } else {
-        DECODE_PRINTF2("ESC_DB %0x\n", (mod << 6) + (rh << 3) + (rl));
-    }
-#endif /* DEBUG */
-    switch (mod) {
-      case 0:
-        destoffset = decode_rm00_address(rl);
-        break;
-      case 1:
-        destoffset = decode_rm01_address(rl);
-        break;
-      case 2:
-        destoffset = decode_rm10_address(rl);
-        break;
-      case 3:                   /* register to register */
-        break;
-    }
-#ifdef X86EMU_FPU_PRESENT
-    /* execute */
-    switch (mod) {
-      case 3:
-        switch (rh) {
-          case 4:
-            switch (rl) {
-              case 0:
-                x86emu_fpu_R_feni();
-                break;
-              case 1:
-                x86emu_fpu_R_fdisi();
-                break;
-              case 2:
-                x86emu_fpu_R_fclex();
-                break;
-              case 3:
-                x86emu_fpu_R_finit();
-                break;
-              default:
-                x86emu_fpu_illegal();
-                break;
-            }
-            break;
-          default:
-            x86emu_fpu_illegal();
-            break;
-        }
-        break;
-      default:
-        switch (rh) {
-          case 0:
-            x86emu_fpu_M_fild(X86EMU_FPU_SHORT, destoffset);
-            break;
-          case 1:
-            x86emu_fpu_illegal();
-            break;
-          case 2:
-            x86emu_fpu_M_fist(X86EMU_FPU_SHORT, destoffset);
-            break;
-          case 3:
-            x86emu_fpu_M_fistp(X86EMU_FPU_SHORT, destoffset);
-            break;
-          case 4:
-            x86emu_fpu_illegal();
-            break;
-          case 5:
-            x86emu_fpu_M_fld(X86EMU_FPU_LDBL, destoffset);
-            break;
-                      case 6:
-            x86emu_fpu_illegal();
-            break;
-          case 7:
-            x86emu_fpu_M_fstp(X86EMU_FPU_LDBL, destoffset);
-            break;
-        }
-    }
-#endif
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR_NO_TRACE();
-}
-
-#ifdef DEBUG
-static const char *x86emu_fpu_op_dc_tab[] = {
-    "FADD\tQWORD PTR ", "FMUL\tQWORD PTR ", "FCOM\tQWORD PTR ",
-    "FCOMP\tQWORD PTR ",
-    "FSUB\tQWORD PTR ", "FSUBR\tQWORD PTR ", "FDIV\tQWORD PTR ",
-    "FDIVR\tQWORD PTR ",
-
-    "FADD\tQWORD PTR ", "FMUL\tQWORD PTR ", "FCOM\tQWORD PTR ",
-    "FCOMP\tQWORD PTR ",
-    "FSUB\tQWORD PTR ", "FSUBR\tQWORD PTR ", "FDIV\tQWORD PTR ",
-    "FDIVR\tQWORD PTR ",
-
-    "FADD\tQWORD PTR ", "FMUL\tQWORD PTR ", "FCOM\tQWORD PTR ",
-    "FCOMP\tQWORD PTR ",
-    "FSUB\tQWORD PTR ", "FSUBR\tQWORD PTR ", "FDIV\tQWORD PTR ",
-    "FDIVR\tQWORD PTR ",
-
-    "FADD\t", "FMUL\t", "FCOM\t", "FCOMP\t",
-    "FSUBR\t", "FSUB\t", "FDIVR\t", "FDIV\t",
-};
-#endif /* DEBUG */
-
-/* opcode=0xdc */
-void x86emuOp_esc_coprocess_dc(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8 stkelem;
-
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    DECODE_PRINTINSTR32(x86emu_fpu_op_dc_tab, mod, rh, rl);
-    switch (mod) {
-      case 0:
-        destoffset = decode_rm00_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 1:
-        destoffset = decode_rm01_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 2:
-        destoffset = decode_rm10_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 3:                   /* register to register */
-        stkelem = (u8)rl;
-        DECODE_PRINTF2("\tST(%d),ST\n", stkelem);
-        break;
-    }
-#ifdef X86EMU_FPU_PRESENT
-    /* execute */
-    switch (mod) {
-      case 3:
-        switch (rh) {
-          case 0:
-            x86emu_fpu_R_fadd(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 1:
-            x86emu_fpu_R_fmul(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 2:
-            x86emu_fpu_R_fcom(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 3:
-            x86emu_fpu_R_fcomp(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 4:
-            x86emu_fpu_R_fsubr(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 5:
-            x86emu_fpu_R_fsub(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 6:
-            x86emu_fpu_R_fdivr(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 7:
-            x86emu_fpu_R_fdiv(stkelem, X86EMU_FPU_STKTOP);
-            break;
-        }
-        break;
-      default:
-        switch (rh) {
-          case 0:
-            x86emu_fpu_M_fadd(X86EMU_FPU_DOUBLE, destoffset);
-            break;
-          case 1:
-            x86emu_fpu_M_fmul(X86EMU_FPU_DOUBLE, destoffset);
-            break;
-          case 2:
-            x86emu_fpu_M_fcom(X86EMU_FPU_DOUBLE, destoffset);
-            break;
-          case 3:
-            x86emu_fpu_M_fcomp(X86EMU_FPU_DOUBLE, destoffset);
-            break;
-          case 4:
-            x86emu_fpu_M_fsub(X86EMU_FPU_DOUBLE, destoffset);
-            break;
-          case 5:
-            x86emu_fpu_M_fsubr(X86EMU_FPU_DOUBLE, destoffset);
-            break;
-          case 6:
-            x86emu_fpu_M_fdiv(X86EMU_FPU_DOUBLE, destoffset);
-            break;
-          case 7:
-            x86emu_fpu_M_fdivr(X86EMU_FPU_DOUBLE, destoffset);
-            break;
-        }
-    }
-#endif
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR_NO_TRACE();
-}
-
-#ifdef DEBUG
-
-static const char *x86emu_fpu_op_dd_tab[] = {
-    "FLD\tQWORD PTR ", "ESC_DD\t29,", "FST\tQWORD PTR ", "FSTP\tQWORD PTR ",
-    "FRSTOR\t", "ESC_DD\t2D,", "FSAVE\t", "FSTSW\t",
-
-    "FLD\tQWORD PTR ", "ESC_DD\t29,", "FST\tQWORD PTR ", "FSTP\tQWORD PTR ",
-    "FRSTOR\t", "ESC_DD\t2D,", "FSAVE\t", "FSTSW\t",
-
-    "FLD\tQWORD PTR ", "ESC_DD\t29,", "FST\tQWORD PTR ", "FSTP\tQWORD PTR ",
-    "FRSTOR\t", "ESC_DD\t2D,", "FSAVE\t", "FSTSW\t",
-
-    "FFREE\t", "FXCH\t", "FST\t", "FSTP\t",
-    "ESC_DD\t2C,", "ESC_DD\t2D,", "ESC_DD\t2E,", "ESC_DD\t2F,",
-};
-
-#endif /* DEBUG */
-
-/* opcode=0xdd */
-void x86emuOp_esc_coprocess_dd(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8 stkelem;
-
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    DECODE_PRINTINSTR32(x86emu_fpu_op_dd_tab, mod, rh, rl);
-    switch (mod) {
-      case 0:
-        destoffset = decode_rm00_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 1:
-        destoffset = decode_rm01_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 2:
-        destoffset = decode_rm10_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 3:                   /* register to register */
-        stkelem = (u8)rl;
-        DECODE_PRINTF2("\tST(%d),ST\n", stkelem);
-        break;
-    }
-#ifdef X86EMU_FPU_PRESENT
-    switch (mod) {
-      case 3:
-        switch (rh) {
-          case 0:
-            x86emu_fpu_R_ffree(stkelem);
-            break;
-          case 1:
-            x86emu_fpu_R_fxch(stkelem);
-            break;
-          case 2:
-            x86emu_fpu_R_fst(stkelem);  /* register version */
-            break;
-          case 3:
-            x86emu_fpu_R_fstp(stkelem); /* register version */
-            break;
-          default:
-            x86emu_fpu_illegal();
-            break;
-        }
-        break;
-      default:
-        switch (rh) {
-          case 0:
-            x86emu_fpu_M_fld(X86EMU_FPU_DOUBLE, destoffset);
-            break;
-          case 1:
-            x86emu_fpu_illegal();
-            break;
-          case 2:
-            x86emu_fpu_M_fst(X86EMU_FPU_DOUBLE, destoffset);
-            break;
-          case 3:
-            x86emu_fpu_M_fstp(X86EMU_FPU_DOUBLE, destoffset);
-            break;
-          case 4:
-            x86emu_fpu_M_frstor(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 5:
-            x86emu_fpu_illegal();
-            break;
-          case 6:
-            x86emu_fpu_M_fsave(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 7:
-            x86emu_fpu_M_fstsw(X86EMU_FPU_WORD, destoffset);
-            break;
-        }
-    }
-#endif
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR_NO_TRACE();
-}
-
-#ifdef DEBUG
-
-static const char *x86emu_fpu_op_de_tab[] =
-{
-    "FIADD\tWORD PTR ", "FIMUL\tWORD PTR ", "FICOM\tWORD PTR ",
-    "FICOMP\tWORD PTR ",
-    "FISUB\tWORD PTR ", "FISUBR\tWORD PTR ", "FIDIV\tWORD PTR ",
-    "FIDIVR\tWORD PTR ",
-
-    "FIADD\tWORD PTR ", "FIMUL\tWORD PTR ", "FICOM\tWORD PTR ",
-    "FICOMP\tWORD PTR ",
-    "FISUB\tWORD PTR ", "FISUBR\tWORD PTR ", "FIDIV\tWORD PTR ",
-    "FIDIVR\tWORD PTR ",
-
-    "FIADD\tWORD PTR ", "FIMUL\tWORD PTR ", "FICOM\tWORD PTR ",
-    "FICOMP\tWORD PTR ",
-    "FISUB\tWORD PTR ", "FISUBR\tWORD PTR ", "FIDIV\tWORD PTR ",
-    "FIDIVR\tWORD PTR ",
-
-    "FADDP\t", "FMULP\t", "FCOMP\t", "FCOMPP\t",
-    "FSUBRP\t", "FSUBP\t", "FDIVRP\t", "FDIVP\t",
-};
-
-#endif /* DEBUG */
-
-/* opcode=0xde */
-void x86emuOp_esc_coprocess_de(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8 stkelem;
-
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    DECODE_PRINTINSTR32(x86emu_fpu_op_de_tab, mod, rh, rl);
-    switch (mod) {
-      case 0:
-        destoffset = decode_rm00_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 1:
-        destoffset = decode_rm01_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 2:
-        destoffset = decode_rm10_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 3:                   /* register to register */
-        stkelem = (u8)rl;
-        DECODE_PRINTF2("\tST(%d),ST\n", stkelem);
-        break;
-    }
-#ifdef X86EMU_FPU_PRESENT
-    switch (mod) {
-      case 3:
-        switch (rh) {
-          case 0:
-            x86emu_fpu_R_faddp(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 1:
-            x86emu_fpu_R_fmulp(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 2:
-            x86emu_fpu_R_fcomp(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 3:
-            if (stkelem == 1)
-              x86emu_fpu_R_fcompp(stkelem, X86EMU_FPU_STKTOP);
-            else
-              x86emu_fpu_illegal();
-            break;
-          case 4:
-            x86emu_fpu_R_fsubrp(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 5:
-            x86emu_fpu_R_fsubp(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 6:
-            x86emu_fpu_R_fdivrp(stkelem, X86EMU_FPU_STKTOP);
-            break;
-          case 7:
-            x86emu_fpu_R_fdivp(stkelem, X86EMU_FPU_STKTOP);
-            break;
-        }
-        break;
-      default:
-        switch (rh) {
-          case 0:
-            x86emu_fpu_M_fiadd(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 1:
-            x86emu_fpu_M_fimul(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 2:
-            x86emu_fpu_M_ficom(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 3:
-            x86emu_fpu_M_ficomp(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 4:
-            x86emu_fpu_M_fisub(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 5:
-            x86emu_fpu_M_fisubr(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 6:
-            x86emu_fpu_M_fidiv(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 7:
-            x86emu_fpu_M_fidivr(X86EMU_FPU_WORD, destoffset);
-            break;
-        }
-    }
-#endif
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR_NO_TRACE();
-}
-
-#ifdef DEBUG
-
-static const char *x86emu_fpu_op_df_tab[] = {
-    /* mod == 00 */
-    "FILD\tWORD PTR ", "ESC_DF\t39\n", "FIST\tWORD PTR ", "FISTP\tWORD PTR ",
-    "FBLD\tTBYTE PTR ", "FILD\tQWORD PTR ", "FBSTP\tTBYTE PTR ",
-    "FISTP\tQWORD PTR ",
-
-    /* mod == 01 */
-    "FILD\tWORD PTR ", "ESC_DF\t39 ", "FIST\tWORD PTR ", "FISTP\tWORD PTR ",
-    "FBLD\tTBYTE PTR ", "FILD\tQWORD PTR ", "FBSTP\tTBYTE PTR ",
-    "FISTP\tQWORD PTR ",
-
-    /* mod == 10 */
-    "FILD\tWORD PTR ", "ESC_DF\t39 ", "FIST\tWORD PTR ", "FISTP\tWORD PTR ",
-    "FBLD\tTBYTE PTR ", "FILD\tQWORD PTR ", "FBSTP\tTBYTE PTR ",
-    "FISTP\tQWORD PTR ",
-
-    /* mod == 11 */
-    "FFREE\t", "FXCH\t", "FST\t", "FSTP\t",
-    "ESC_DF\t3C,", "ESC_DF\t3D,", "ESC_DF\t3E,", "ESC_DF\t3F,"
-};
-
-#endif /* DEBUG */
-
-/* opcode=0xdf */
-void x86emuOp_esc_coprocess_df(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8 stkelem;
-
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    DECODE_PRINTINSTR32(x86emu_fpu_op_df_tab, mod, rh, rl);
-    switch (mod) {
-      case 0:
-        destoffset = decode_rm00_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 1:
-        destoffset = decode_rm01_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 2:
-        destoffset = decode_rm10_address(rl);
-        DECODE_PRINTF("\n");
-        break;
-      case 3:                   /* register to register */
-        stkelem = (u8)rl;
-        DECODE_PRINTF2("\tST(%d)\n", stkelem);
-        break;
-    }
-#ifdef X86EMU_FPU_PRESENT
-    switch (mod) {
-      case 3:
-        switch (rh) {
-          case 0:
-            x86emu_fpu_R_ffree(stkelem);
-            break;
-          case 1:
-            x86emu_fpu_R_fxch(stkelem);
-            break;
-          case 2:
-            x86emu_fpu_R_fst(stkelem);  /* register version */
-            break;
-          case 3:
-            x86emu_fpu_R_fstp(stkelem); /* register version */
-            break;
-          default:
-            x86emu_fpu_illegal();
-            break;
-        }
-        break;
-      default:
-        switch (rh) {
-          case 0:
-            x86emu_fpu_M_fild(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 1:
-            x86emu_fpu_illegal();
-            break;
-          case 2:
-            x86emu_fpu_M_fist(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 3:
-            x86emu_fpu_M_fistp(X86EMU_FPU_WORD, destoffset);
-            break;
-          case 4:
-            x86emu_fpu_M_fbld(X86EMU_FPU_BSD, destoffset);
-            break;
-          case 5:
-            x86emu_fpu_M_fild(X86EMU_FPU_LONG, destoffset);
-            break;
-          case 6:
-            x86emu_fpu_M_fbstp(X86EMU_FPU_BSD, destoffset);
-            break;
-          case 7:
-            x86emu_fpu_M_fistp(X86EMU_FPU_LONG, destoffset);
-            break;
-        }
-    }
-#endif
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR_NO_TRACE();
-}
diff --git a/util/x86emu/x86emu/fpu.h b/util/x86emu/x86emu/fpu.h
deleted file mode 100644 (file)
index 5fb2714..0000000
+++ /dev/null
@@ -1,61 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  Header file for FPU instruction decoding.
-*
-****************************************************************************/
-
-#ifndef __X86EMU_FPU_H
-#define __X86EMU_FPU_H
-
-#ifdef  __cplusplus
-extern "C" {                                   /* Use "C" linkage when in C++ mode */
-#endif
-
-/* these have to be defined, whether 8087 support compiled in or not. */
-
-extern void x86emuOp_esc_coprocess_d8 (u8 op1);
-extern void x86emuOp_esc_coprocess_d9 (u8 op1);
-extern void x86emuOp_esc_coprocess_da (u8 op1);
-extern void x86emuOp_esc_coprocess_db (u8 op1);
-extern void x86emuOp_esc_coprocess_dc (u8 op1);
-extern void x86emuOp_esc_coprocess_dd (u8 op1);
-extern void x86emuOp_esc_coprocess_de (u8 op1);
-extern void x86emuOp_esc_coprocess_df (u8 op1);
-
-#ifdef  __cplusplus
-}                                              /* End of "C" linkage for C++           */
-#endif
-
-#endif /* __X86EMU_FPU_H */
diff --git a/util/x86emu/x86emu/ops.c b/util/x86emu/x86emu/ops.c
deleted file mode 100644 (file)
index c66da95..0000000
+++ /dev/null
@@ -1,5472 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1991-2004 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  This file includes subroutines to implement the decoding
-*               and emulation of all the x86 processor instructions.
-*
-* There are approximately 250 subroutines in here, which correspond
-* to the 256 byte-"opcodes" found on the 8086.  The table which
-* dispatches this is found in the files optab.[ch].
-*
-* Each opcode proc has a comment preceeding it which gives it's table
-* address.  Several opcodes are missing (undefined) in the table.
-*
-* Each proc includes information for decoding (DECODE_PRINTF and
-* DECODE_PRINTF2), debugging (TRACE_REGS, SINGLE_STEP), and misc
-* functions (START_OF_INSTR, END_OF_INSTR).
-*
-* Many of the procedures are *VERY* similar in coding.  This has
-* allowed for a very large amount of code to be generated in a fairly
-* short amount of time (i.e. cut, paste, and modify).  The result is
-* that much of the code below could have been folded into subroutines
-* for a large reduction in size of this file.  The downside would be
-* that there would be a penalty in execution speed.  The file could
-* also have been *MUCH* larger by inlining certain functions which
-* were called.  This could have resulted even faster execution.  The
-* prime directive I used to decide whether to inline the code or to
-* modularize it, was basically: 1) no unnecessary subroutine calls,
-* 2) no routines more than about 200 lines in size, and 3) modularize
-* any code that I might not get right the first time.  The fetch_*
-* subroutines fall into the latter category.  The The decode_* fall
-* into the second category.  The coding of the "switch(mod){ .... }"
-* in many of the subroutines below falls into the first category.
-* Especially, the coding of {add,and,or,sub,...}_{byte,word}
-* subroutines are an especially glaring case of the third guideline.
-* Since so much of the code is cloned from other modules (compare
-* opcode #00 to opcode #01), making the basic operations subroutine
-* calls is especially important; otherwise mistakes in coding an
-* "add" would represent a nightmare in maintenance.
-*
-****************************************************************************/
-
-#include "x86emui.h"
-
-/*----------------------------- Implementation ----------------------------*/
-
-/* constant arrays to do several instructions in just one function */
-
-#ifdef DEBUG
-static const char *x86emu_GenOpName[8] = {
-    "ADD", "OR", "ADC", "SBB", "AND", "SUB", "XOR", "CMP"};
-#endif
-
-/* used by several opcodes  */
-static u8 (*genop_byte_operation[])(u8 d, u8 s) =
-{
-    add_byte,           /* 00 */
-    or_byte,            /* 01 */
-    adc_byte,           /* 02 */
-    sbb_byte,           /* 03 */
-    and_byte,           /* 04 */
-    sub_byte,           /* 05 */
-    xor_byte,           /* 06 */
-    cmp_byte,           /* 07 */
-};
-
-static u16 (*genop_word_operation[])(u16 d, u16 s) =
-{
-    add_word,           /*00 */
-    or_word,            /*01 */
-    adc_word,           /*02 */
-    sbb_word,           /*03 */
-    and_word,           /*04 */
-    sub_word,           /*05 */
-    xor_word,           /*06 */
-    cmp_word,           /*07 */
-};
-
-static u32 (*genop_long_operation[])(u32 d, u32 s) =
-{
-    add_long,           /*00 */
-    or_long,            /*01 */
-    adc_long,           /*02 */
-    sbb_long,           /*03 */
-    and_long,           /*04 */
-    sub_long,           /*05 */
-    xor_long,           /*06 */
-    cmp_long,           /*07 */
-};
-
-/* used by opcodes 80, c0, d0, and d2. */
-static u8(*opcD0_byte_operation[])(u8 d, u8 s) =
-{
-    rol_byte,
-    ror_byte,
-    rcl_byte,
-    rcr_byte,
-    shl_byte,
-    shr_byte,
-    shl_byte,           /* sal_byte === shl_byte  by definition */
-    sar_byte,
-};
-
-/* used by opcodes c1, d1, and d3. */
-static u16(*opcD1_word_operation[])(u16 s, u8 d) =
-{
-    rol_word,
-    ror_word,
-    rcl_word,
-    rcr_word,
-    shl_word,
-    shr_word,
-    shl_word,           /* sal_byte === shl_byte  by definition */
-    sar_word,
-};
-
-/* used by opcodes c1, d1, and d3. */
-static u32 (*opcD1_long_operation[])(u32 s, u8 d) =
-{
-    rol_long,
-    ror_long,
-    rcl_long,
-    rcr_long,
-    shl_long,
-    shr_long,
-    shl_long,           /* sal_byte === shl_byte  by definition */
-    sar_long,
-};
-
-#ifdef DEBUG
-
-static const char *opF6_names[8] =
-  { "TEST\t", "", "NOT\t", "NEG\t", "MUL\t", "IMUL\t", "DIV\t", "IDIV\t" };
-
-#endif
-
-/****************************************************************************
-PARAMETERS:
-op1 - Instruction op code
-
-REMARKS:
-Handles illegal opcodes.
-****************************************************************************/
-static void x86emuOp_illegal_op(
-    u8 op1)
-{
-    START_OF_INSTR();
-    if (M.x86.R_SP != 0) {
-        DECODE_PRINTF("ILLEGAL X86 OPCODE\n");
-        TRACE_REGS();
-        DB( printf("%04x:%04x: %02X ILLEGAL X86 OPCODE!\n",
-            M.x86.R_CS, M.x86.R_IP-1,op1));
-        HALT_SYS();
-        }
-    else {
-        /* If we get here, it means the stack pointer is back to zero
-         * so we are just returning from an emulator service call
-         * so therte is no need to display an error message. We trap
-         * the emulator with an 0xF1 opcode to finish the service
-         * call.
-         */
-        X86EMU_halt_sys();
-        }
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcodes 0x00, 0x08, 0x10, 0x18, 0x20, 0x28, 0x30, 0x38
-****************************************************************************/
-static void x86emuOp_genop_byte_RM_R(u8 op1)
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8 *destreg, *srcreg;
-    u8 destval;
-
-    op1 = (op1 >> 3) & 0x7;
-
-    START_OF_INSTR();
-    DECODE_PRINTF(x86emu_GenOpName[op1]);
-    DECODE_PRINTF("\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if(mod<3)
-        { destoffset = decode_rmXX_address(mod,rl);
-        DECODE_PRINTF(",");
-        destval = fetch_data_byte(destoffset);
-        srcreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        destval = genop_byte_operation[op1](destval, *srcreg);
-        if (op1 != 7)
-            store_data_byte(destoffset, destval);
-        }
-    else
-        {                       /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        DECODE_PRINTF(",");
-        srcreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *destreg = genop_byte_operation[op1](*destreg, *srcreg);
-        }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcodes 0x01, 0x09, 0x11, 0x19, 0x21, 0x29, 0x31, 0x39
-****************************************************************************/
-static void x86emuOp_genop_word_RM_R(u8 op1)
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    op1 = (op1 >> 3) & 0x7;
-
-    START_OF_INSTR();
-    DECODE_PRINTF(x86emu_GenOpName[op1]);
-    DECODE_PRINTF("\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-
-    if(mod<3) {
-        destoffset = decode_rmXX_address(mod,rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval;
-            u32 *srcreg;
-
-            DECODE_PRINTF(",");
-            destval = fetch_data_long(destoffset);
-            srcreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            destval = genop_long_operation[op1](destval, *srcreg);
-            if (op1 != 7)
-                store_data_long(destoffset, destval);
-        } else {
-            u16 destval;
-            u16 *srcreg;
-
-            DECODE_PRINTF(",");
-            destval = fetch_data_word(destoffset);
-            srcreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            destval = genop_word_operation[op1](destval, *srcreg);
-            if (op1 != 7)
-                store_data_word(destoffset, destval);
-        }
-    } else {                    /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg, *srcreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = genop_long_operation[op1](*destreg, *srcreg);
-        } else {
-            u16 *destreg, *srcreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = genop_word_operation[op1](*destreg, *srcreg);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcodes 0x02, 0x0a, 0x12, 0x1a, 0x22, 0x2a, 0x32, 0x3a
-****************************************************************************/
-static void x86emuOp_genop_byte_R_RM(u8 op1)
-{
-    int mod, rl, rh;
-    u8 *destreg, *srcreg;
-    uint srcoffset;
-    u8 srcval;
-
-    op1 = (op1 >> 3) & 0x7;
-
-    START_OF_INSTR();
-    DECODE_PRINTF(x86emu_GenOpName[op1]);
-    DECODE_PRINTF("\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcoffset = decode_rmXX_address(mod,rl);
-        srcval = fetch_data_byte(srcoffset);
-    } else {     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcreg = DECODE_RM_BYTE_REGISTER(rl);
-        srcval = *srcreg;
-    }
-    DECODE_PRINTF("\n");
-    TRACE_AND_STEP();
-    *destreg = genop_byte_operation[op1](*destreg, srcval);
-
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcodes 0x03, 0x0b, 0x13, 0x1b, 0x23, 0x2b, 0x33, 0x3b
-****************************************************************************/
-static void x86emuOp_genop_word_R_RM(u8 op1)
-{
-    int mod, rl, rh;
-    uint srcoffset;
-    u32 *destreg32, srcval;
-    u16 *destreg;
-
-    op1 = (op1 >> 3) & 0x7;
-
-    START_OF_INSTR();
-    DECODE_PRINTF(x86emu_GenOpName[op1]);
-    DECODE_PRINTF("\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        srcoffset = decode_rmXX_address(mod,rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            destreg32 = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcval = fetch_data_long(srcoffset);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg32 = genop_long_operation[op1](*destreg32, srcval);
-        } else {
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcval = fetch_data_word(srcoffset);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = genop_word_operation[op1](*destreg, srcval);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *srcreg;
-            destreg32 = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg32 = genop_long_operation[op1](*destreg32, *srcreg);
-        } else {
-            u16 *srcreg;
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = genop_word_operation[op1](*destreg, *srcreg);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcodes 0x04, 0x0c, 0x14, 0x1c, 0x24, 0x2c, 0x34, 0x3c
-****************************************************************************/
-static void x86emuOp_genop_byte_AL_IMM(u8 op1)
-{
-    u8 srcval;
-
-    op1 = (op1 >> 3) & 0x7;
-
-    START_OF_INSTR();
-    DECODE_PRINTF(x86emu_GenOpName[op1]);
-    DECODE_PRINTF("\tAL,");
-    srcval = fetch_byte_imm();
-    DECODE_PRINTF2("%x\n", srcval);
-    TRACE_AND_STEP();
-    M.x86.R_AL = genop_byte_operation[op1](M.x86.R_AL, srcval);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcodes 0x05, 0x0d, 0x15, 0x1d, 0x25, 0x2d, 0x35, 0x3d
-****************************************************************************/
-static void x86emuOp_genop_word_AX_IMM(u8 op1)
-{
-    u32 srcval;
-
-    op1 = (op1 >> 3) & 0x7;
-
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF(x86emu_GenOpName[op1]);
-        DECODE_PRINTF("\tEAX,");
-        srcval = fetch_long_imm();
-    } else {
-        DECODE_PRINTF(x86emu_GenOpName[op1]);
-        DECODE_PRINTF("\tAX,");
-        srcval = fetch_word_imm();
-    }
-    DECODE_PRINTF2("%x\n", srcval);
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        M.x86.R_EAX = genop_long_operation[op1](M.x86.R_EAX, srcval);
-    } else {
-        M.x86.R_AX = genop_word_operation[op1](M.x86.R_AX, (u16)srcval);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x06
-****************************************************************************/
-static void x86emuOp_push_ES(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("PUSH\tES\n");
-    TRACE_AND_STEP();
-    push_word(M.x86.R_ES);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x07
-****************************************************************************/
-static void x86emuOp_pop_ES(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("POP\tES\n");
-    TRACE_AND_STEP();
-    M.x86.R_ES = pop_word();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0e
-****************************************************************************/
-static void x86emuOp_push_CS(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("PUSH\tCS\n");
-    TRACE_AND_STEP();
-    push_word(M.x86.R_CS);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f. Escape for two-byte opcode (286 or better)
-****************************************************************************/
-static void x86emuOp_two_byte(u8 X86EMU_UNUSED(op1))
-{
-    u8 op2 = (*sys_rdb)(((u32)M.x86.R_CS << 4) + (M.x86.R_IP++));
-    INC_DECODED_INST_LEN(1);
-    (*x86emu_optab2[op2])(op2);
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x16
-****************************************************************************/
-static void x86emuOp_push_SS(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("PUSH\tSS\n");
-    TRACE_AND_STEP();
-    push_word(M.x86.R_SS);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x17
-****************************************************************************/
-static void x86emuOp_pop_SS(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("POP\tSS\n");
-    TRACE_AND_STEP();
-    M.x86.R_SS = pop_word();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x1e
-****************************************************************************/
-static void x86emuOp_push_DS(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("PUSH\tDS\n");
-    TRACE_AND_STEP();
-    push_word(M.x86.R_DS);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x1f
-****************************************************************************/
-static void x86emuOp_pop_DS(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("POP\tDS\n");
-    TRACE_AND_STEP();
-    M.x86.R_DS = pop_word();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x26
-****************************************************************************/
-static void x86emuOp_segovr_ES(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("ES:\n");
-    TRACE_AND_STEP();
-    M.x86.mode |= SYSMODE_SEGOVR_ES;
-    /*
-     * note the lack of DECODE_CLEAR_SEGOVR(r) since, here is one of 4
-     * opcode subroutines we do not want to do this.
-     */
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x27
-****************************************************************************/
-static void x86emuOp_daa(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("DAA\n");
-    TRACE_AND_STEP();
-    M.x86.R_AL = daa_byte(M.x86.R_AL);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x2e
-****************************************************************************/
-static void x86emuOp_segovr_CS(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("CS:\n");
-    TRACE_AND_STEP();
-    M.x86.mode |= SYSMODE_SEGOVR_CS;
-    /* note no DECODE_CLEAR_SEGOVR here. */
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x2f
-****************************************************************************/
-static void x86emuOp_das(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("DAS\n");
-    TRACE_AND_STEP();
-    M.x86.R_AL = das_byte(M.x86.R_AL);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x36
-****************************************************************************/
-static void x86emuOp_segovr_SS(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("SS:\n");
-    TRACE_AND_STEP();
-    M.x86.mode |= SYSMODE_SEGOVR_SS;
-    /* no DECODE_CLEAR_SEGOVR ! */
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x37
-****************************************************************************/
-static void x86emuOp_aaa(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("AAA\n");
-    TRACE_AND_STEP();
-    M.x86.R_AX = aaa_word(M.x86.R_AX);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x3e
-****************************************************************************/
-static void x86emuOp_segovr_DS(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("DS:\n");
-    TRACE_AND_STEP();
-    M.x86.mode |= SYSMODE_SEGOVR_DS;
-    /* NO DECODE_CLEAR_SEGOVR! */
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x3f
-****************************************************************************/
-static void x86emuOp_aas(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("AAS\n");
-    TRACE_AND_STEP();
-    M.x86.R_AX = aas_word(M.x86.R_AX);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x40 - 0x47
-****************************************************************************/
-static void x86emuOp_inc_register(u8 op1)
-{
-    START_OF_INSTR();
-    op1 &= 0x7;
-    DECODE_PRINTF("INC\t");
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        u32 *reg;
-        reg = DECODE_RM_LONG_REGISTER(op1);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *reg = inc_long(*reg);
-    } else {
-        u16 *reg;
-        reg = DECODE_RM_WORD_REGISTER(op1);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *reg = inc_word(*reg);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x48 - 0x4F
-****************************************************************************/
-static void x86emuOp_dec_register(u8 op1)
-{
-    START_OF_INSTR();
-    op1 &= 0x7;
-    DECODE_PRINTF("DEC\t");
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        u32 *reg;
-        reg = DECODE_RM_LONG_REGISTER(op1);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *reg = dec_long(*reg);
-    } else {
-        u16 *reg;
-        reg = DECODE_RM_WORD_REGISTER(op1);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *reg = dec_word(*reg);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x50 - 0x57
-****************************************************************************/
-static void x86emuOp_push_register(u8 op1)
-{
-    START_OF_INSTR();
-    op1 &= 0x7;
-    DECODE_PRINTF("PUSH\t");
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        u32 *reg;
-        reg = DECODE_RM_LONG_REGISTER(op1);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        push_long(*reg);
-    } else {
-        u16 *reg;
-        reg = DECODE_RM_WORD_REGISTER(op1);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        push_word(*reg);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x58 - 0x5F
-****************************************************************************/
-static void x86emuOp_pop_register(u8 op1)
-{
-    START_OF_INSTR();
-    op1 &= 0x7;
-    DECODE_PRINTF("POP\t");
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        u32 *reg;
-        reg = DECODE_RM_LONG_REGISTER(op1);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *reg = pop_long();
-    } else {
-        u16 *reg;
-        reg = DECODE_RM_WORD_REGISTER(op1);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *reg = pop_word();
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x60
-****************************************************************************/
-static void x86emuOp_push_all(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("PUSHAD\n");
-    } else {
-        DECODE_PRINTF("PUSHA\n");
-    }
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        u32 old_sp = M.x86.R_ESP;
-
-        push_long(M.x86.R_EAX);
-        push_long(M.x86.R_ECX);
-        push_long(M.x86.R_EDX);
-        push_long(M.x86.R_EBX);
-        push_long(old_sp);
-        push_long(M.x86.R_EBP);
-        push_long(M.x86.R_ESI);
-        push_long(M.x86.R_EDI);
-    } else {
-        u16 old_sp = M.x86.R_SP;
-
-        push_word(M.x86.R_AX);
-        push_word(M.x86.R_CX);
-        push_word(M.x86.R_DX);
-        push_word(M.x86.R_BX);
-        push_word(old_sp);
-        push_word(M.x86.R_BP);
-        push_word(M.x86.R_SI);
-        push_word(M.x86.R_DI);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x61
-****************************************************************************/
-static void x86emuOp_pop_all(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("POPAD\n");
-    } else {
-        DECODE_PRINTF("POPA\n");
-    }
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        M.x86.R_EDI = pop_long();
-        M.x86.R_ESI = pop_long();
-        M.x86.R_EBP = pop_long();
-        M.x86.R_ESP += 4;              /* skip ESP */
-        M.x86.R_EBX = pop_long();
-        M.x86.R_EDX = pop_long();
-        M.x86.R_ECX = pop_long();
-        M.x86.R_EAX = pop_long();
-    } else {
-        M.x86.R_DI = pop_word();
-        M.x86.R_SI = pop_word();
-        M.x86.R_BP = pop_word();
-        M.x86.R_SP += 2;               /* skip SP */
-        M.x86.R_BX = pop_word();
-        M.x86.R_DX = pop_word();
-        M.x86.R_CX = pop_word();
-        M.x86.R_AX = pop_word();
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/*opcode 0x62   ILLEGAL OP, calls x86emuOp_illegal_op() */
-/*opcode 0x63   ILLEGAL OP, calls x86emuOp_illegal_op() */
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x64
-****************************************************************************/
-static void x86emuOp_segovr_FS(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("FS:\n");
-    TRACE_AND_STEP();
-    M.x86.mode |= SYSMODE_SEGOVR_FS;
-    /*
-     * note the lack of DECODE_CLEAR_SEGOVR(r) since, here is one of 4
-     * opcode subroutines we do not want to do this.
-     */
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x65
-****************************************************************************/
-static void x86emuOp_segovr_GS(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("GS:\n");
-    TRACE_AND_STEP();
-    M.x86.mode |= SYSMODE_SEGOVR_GS;
-    /*
-     * note the lack of DECODE_CLEAR_SEGOVR(r) since, here is one of 4
-     * opcode subroutines we do not want to do this.
-     */
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x66 - prefix for 32-bit register
-****************************************************************************/
-static void x86emuOp_prefix_data(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("DATA:\n");
-    TRACE_AND_STEP();
-    M.x86.mode |= SYSMODE_PREFIX_DATA;
-    /* note no DECODE_CLEAR_SEGOVR here. */
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x67 - prefix for 32-bit address
-****************************************************************************/
-static void x86emuOp_prefix_addr(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("ADDR:\n");
-    TRACE_AND_STEP();
-    M.x86.mode |= SYSMODE_PREFIX_ADDR;
-    /* note no DECODE_CLEAR_SEGOVR here. */
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x68
-****************************************************************************/
-static void x86emuOp_push_word_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u32 imm;
-
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        imm = fetch_long_imm();
-    } else {
-        imm = fetch_word_imm();
-    }
-    DECODE_PRINTF2("PUSH\t%x\n", imm);
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        push_long(imm);
-    } else {
-        push_word((u16)imm);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x69
-****************************************************************************/
-static void x86emuOp_imul_word_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("IMUL\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        srcoffset = decode_rmXX_address(mod, rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-            u32 srcval;
-            u32 res_lo,res_hi;
-            s32 imm;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcval = fetch_data_long(srcoffset);
-            imm = fetch_long_imm();
-            DECODE_PRINTF2(",%d\n", (s32)imm);
-            TRACE_AND_STEP();
-            imul_long_direct(&res_lo,&res_hi,(s32)srcval,(s32)imm);
-            if ((((res_lo & 0x80000000) == 0) && (res_hi == 0x00000000)) ||
-                (((res_lo & 0x80000000) != 0) && (res_hi == 0xFFFFFFFF))) {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            } else {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            }
-            *destreg = (u32)res_lo;
-        } else {
-            u16 *destreg;
-            u16 srcval;
-            u32 res;
-            s16 imm;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcval = fetch_data_word(srcoffset);
-            imm = fetch_word_imm();
-            DECODE_PRINTF2(",%d\n", (s32)imm);
-            TRACE_AND_STEP();
-            res = (s16)srcval * (s16)imm;
-            if ((((res & 0x8000) == 0) && ((res >> 16) == 0x0000)) ||
-                (((res & 0x8000) != 0) && ((res >> 16) == 0xFFFF))) {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            } else {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            }
-            *destreg = (u16)res;
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg,*srcreg;
-            u32 res_lo,res_hi;
-            s32 imm;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            imm = fetch_long_imm();
-            DECODE_PRINTF2(",%d\n", (s32)imm);
-            TRACE_AND_STEP();
-            imul_long_direct(&res_lo,&res_hi,(s32)*srcreg,(s32)imm);
-            if ((((res_lo & 0x80000000) == 0) && (res_hi == 0x00000000)) ||
-                (((res_lo & 0x80000000) != 0) && (res_hi == 0xFFFFFFFF))) {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            } else {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            }
-            *destreg = (u32)res_lo;
-        } else {
-            u16 *destreg,*srcreg;
-            u32 res;
-            s16 imm;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            imm = fetch_word_imm();
-            DECODE_PRINTF2(",%d\n", (s32)imm);
-            res = (s16)*srcreg * (s16)imm;
-            if ((((res & 0x8000) == 0) && ((res >> 16) == 0x0000)) ||
-                (((res & 0x8000) != 0) && ((res >> 16) == 0xFFFF))) {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            } else {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            }
-            *destreg = (u16)res;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x6a
-****************************************************************************/
-static void x86emuOp_push_byte_IMM(u8 X86EMU_UNUSED(op1))
-{
-    s16 imm;
-
-    START_OF_INSTR();
-    imm = (s8)fetch_byte_imm();
-    DECODE_PRINTF2("PUSH\t%d\n", imm);
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        push_long(imm);
-    } else {
-        push_word(imm);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x6b
-****************************************************************************/
-static void x86emuOp_imul_byte_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-    s8  imm;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("IMUL\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        srcoffset = decode_rmXX_address(mod, rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-            u32 srcval;
-            u32 res_lo,res_hi;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcval = fetch_data_long(srcoffset);
-            imm = fetch_byte_imm();
-            DECODE_PRINTF2(",%d\n", (s32)imm);
-            TRACE_AND_STEP();
-            imul_long_direct(&res_lo,&res_hi,(s32)srcval,(s32)imm);
-            if ((((res_lo & 0x80000000) == 0) && (res_hi == 0x00000000)) ||
-                (((res_lo & 0x80000000) != 0) && (res_hi == 0xFFFFFFFF))) {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            } else {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            }
-            *destreg = (u32)res_lo;
-        } else {
-            u16 *destreg;
-            u16 srcval;
-            u32 res;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcval = fetch_data_word(srcoffset);
-            imm = fetch_byte_imm();
-            DECODE_PRINTF2(",%d\n", (s32)imm);
-            TRACE_AND_STEP();
-            res = (s16)srcval * (s16)imm;
-            if ((((res & 0x8000) == 0) && ((res >> 16) == 0x0000)) ||
-                (((res & 0x8000) != 0) && ((res >> 16) == 0xFFFF))) {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            } else {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            }
-            *destreg = (u16)res;
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg,*srcreg;
-            u32 res_lo,res_hi;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            imm = fetch_byte_imm();
-            DECODE_PRINTF2(",%d\n", (s32)imm);
-            TRACE_AND_STEP();
-            imul_long_direct(&res_lo,&res_hi,(s32)*srcreg,(s32)imm);
-            if ((((res_lo & 0x80000000) == 0) && (res_hi == 0x00000000)) ||
-                (((res_lo & 0x80000000) != 0) && (res_hi == 0xFFFFFFFF))) {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            } else {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            }
-            *destreg = (u32)res_lo;
-        } else {
-            u16 *destreg,*srcreg;
-            u32 res;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            imm = fetch_byte_imm();
-            DECODE_PRINTF2(",%d\n", (s32)imm);
-            TRACE_AND_STEP();
-            res = (s16)*srcreg * (s16)imm;
-            if ((((res & 0x8000) == 0) && ((res >> 16) == 0x0000)) ||
-                (((res & 0x8000) != 0) && ((res >> 16) == 0xFFFF))) {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            } else {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            }
-            *destreg = (u16)res;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x6c
-****************************************************************************/
-static void x86emuOp_ins_byte(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("INSB\n");
-    ins(1);
-    TRACE_AND_STEP();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x6d
-****************************************************************************/
-static void x86emuOp_ins_word(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("INSD\n");
-        ins(4);
-    } else {
-        DECODE_PRINTF("INSW\n");
-        ins(2);
-    }
-    TRACE_AND_STEP();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x6e
-****************************************************************************/
-static void x86emuOp_outs_byte(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("OUTSB\n");
-    outs(1);
-    TRACE_AND_STEP();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x6f
-****************************************************************************/
-static void x86emuOp_outs_word(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("OUTSD\n");
-        outs(4);
-    } else {
-        DECODE_PRINTF("OUTSW\n");
-        outs(2);
-    }
-    TRACE_AND_STEP();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x70 - 0x7F
-****************************************************************************/
-static void x86emuOp_jump_near_cond(u8 op1)
-{
-    s8 offset;
-    u16 target;
-    int cond;
-
-    /* jump to byte offset if overflow flag is set */
-    START_OF_INSTR();
-    cond = x86emu_check_jump_condition(op1 & 0xF);
-    offset = (s8)fetch_byte_imm();
-    target = (u16)(M.x86.R_IP + (s16)offset);
-    DECODE_PRINTF2("%x\n", target);
-    TRACE_AND_STEP();
-    if (cond) {
-        M.x86.R_IP = target;
-       JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, " NEAR COND ");
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x80
-****************************************************************************/
-static void x86emuOp_opc80_byte_RM_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u8 *destreg;
-    uint destoffset;
-    u8 imm;
-    u8 destval;
-
-    /*
-     * Weirdo special case instruction format.  Part of the opcode
-     * held below in "RH".  Doubly nested case would result, except
-     * that the decoded instruction
-     */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-
-        switch (rh) {
-        case 0:
-            DECODE_PRINTF("ADD\t");
-            break;
-        case 1:
-            DECODE_PRINTF("OR\t");
-            break;
-        case 2:
-            DECODE_PRINTF("ADC\t");
-            break;
-        case 3:
-            DECODE_PRINTF("SBB\t");
-            break;
-        case 4:
-            DECODE_PRINTF("AND\t");
-            break;
-        case 5:
-            DECODE_PRINTF("SUB\t");
-            break;
-        case 6:
-            DECODE_PRINTF("XOR\t");
-            break;
-        case 7:
-            DECODE_PRINTF("CMP\t");
-            break;
-        }
-    }
-#endif
-    /* know operation, decode the mod byte to find the addressing
-       mode. */
-    if (mod < 3) {
-        DECODE_PRINTF("BYTE PTR ");
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",");
-        destval = fetch_data_byte(destoffset);
-        imm = fetch_byte_imm();
-        DECODE_PRINTF2("%x\n", imm);
-        TRACE_AND_STEP();
-        destval = (*genop_byte_operation[rh]) (destval, imm);
-        if (rh != 7)
-            store_data_byte(destoffset, destval);
-    } else {                     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        DECODE_PRINTF(",");
-        imm = fetch_byte_imm();
-        DECODE_PRINTF2("%x\n", imm);
-        TRACE_AND_STEP();
-        *destreg = (*genop_byte_operation[rh]) (*destreg, imm);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x81
-****************************************************************************/
-static void x86emuOp_opc81_word_RM_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    /*
-     * Weirdo special case instruction format.  Part of the opcode
-     * held below in "RH".  Doubly nested case would result, except
-     * that the decoded instruction
-     */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-
-        switch (rh) {
-        case 0:
-            DECODE_PRINTF("ADD\t");
-            break;
-        case 1:
-            DECODE_PRINTF("OR\t");
-            break;
-        case 2:
-            DECODE_PRINTF("ADC\t");
-            break;
-        case 3:
-            DECODE_PRINTF("SBB\t");
-            break;
-        case 4:
-            DECODE_PRINTF("AND\t");
-            break;
-        case 5:
-            DECODE_PRINTF("SUB\t");
-            break;
-        case 6:
-            DECODE_PRINTF("XOR\t");
-            break;
-        case 7:
-            DECODE_PRINTF("CMP\t");
-            break;
-        }
-    }
-#endif
-    /*
-     * Know operation, decode the mod byte to find the addressing
-     * mode.
-     */
-    if (mod < 3) {
-        DECODE_PRINTF("DWORD PTR ");
-        destoffset = decode_rmXX_address(mod, rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval,imm;
-
-            DECODE_PRINTF(",");
-            destval = fetch_data_long(destoffset);
-            imm = fetch_long_imm();
-            DECODE_PRINTF2("%x\n", imm);
-            TRACE_AND_STEP();
-            destval = (*genop_long_operation[rh]) (destval, imm);
-            if (rh != 7)
-                store_data_long(destoffset, destval);
-        } else {
-            u16 destval,imm;
-
-            DECODE_PRINTF(",");
-            destval = fetch_data_word(destoffset);
-            imm = fetch_word_imm();
-            DECODE_PRINTF2("%x\n", imm);
-            TRACE_AND_STEP();
-            destval = (*genop_word_operation[rh]) (destval, imm);
-            if (rh != 7)
-                store_data_word(destoffset, destval);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg, imm;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            imm = fetch_long_imm();
-            DECODE_PRINTF2("%x\n", imm);
-            TRACE_AND_STEP();
-            *destreg = (*genop_long_operation[rh]) (*destreg, imm);
-        } else {
-            u16 *destreg, imm;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            imm = fetch_word_imm();
-            DECODE_PRINTF2("%x\n", imm);
-            TRACE_AND_STEP();
-            *destreg = (*genop_word_operation[rh]) (*destreg, imm);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x82
-****************************************************************************/
-static void x86emuOp_opc82_byte_RM_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u8 *destreg;
-    uint destoffset;
-    u8 imm;
-    u8 destval;
-
-    /*
-     * Weirdo special case instruction format.  Part of the opcode
-     * held below in "RH".  Doubly nested case would result, except
-     * that the decoded instruction Similar to opcode 81, except that
-     * the immediate byte is sign extended to a word length.
-     */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-        switch (rh) {
-        case 0:
-            DECODE_PRINTF("ADD\t");
-            break;
-        case 1:
-            DECODE_PRINTF("OR\t");
-            break;
-        case 2:
-            DECODE_PRINTF("ADC\t");
-            break;
-        case 3:
-            DECODE_PRINTF("SBB\t");
-            break;
-        case 4:
-            DECODE_PRINTF("AND\t");
-            break;
-        case 5:
-            DECODE_PRINTF("SUB\t");
-            break;
-        case 6:
-            DECODE_PRINTF("XOR\t");
-            break;
-        case 7:
-            DECODE_PRINTF("CMP\t");
-            break;
-        }
-    }
-#endif
-    /* know operation, decode the mod byte to find the addressing
-       mode. */
-    if (mod < 3) {
-        DECODE_PRINTF("BYTE PTR ");
-        destoffset = decode_rmXX_address(mod, rl);
-        destval = fetch_data_byte(destoffset);
-        imm = fetch_byte_imm();
-        DECODE_PRINTF2(",%x\n", imm);
-        TRACE_AND_STEP();
-        destval = (*genop_byte_operation[rh]) (destval, imm);
-        if (rh != 7)
-            store_data_byte(destoffset, destval);
-    } else {                     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        imm = fetch_byte_imm();
-        DECODE_PRINTF2(",%x\n", imm);
-        TRACE_AND_STEP();
-        *destreg = (*genop_byte_operation[rh]) (*destreg, imm);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x83
-****************************************************************************/
-static void x86emuOp_opc83_word_RM_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    /*
-     * Weirdo special case instruction format.  Part of the opcode
-     * held below in "RH".  Doubly nested case would result, except
-     * that the decoded instruction Similar to opcode 81, except that
-     * the immediate byte is sign extended to a word length.
-     */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-       switch (rh) {
-        case 0:
-            DECODE_PRINTF("ADD\t");
-            break;
-        case 1:
-            DECODE_PRINTF("OR\t");
-            break;
-        case 2:
-            DECODE_PRINTF("ADC\t");
-            break;
-        case 3:
-            DECODE_PRINTF("SBB\t");
-            break;
-        case 4:
-            DECODE_PRINTF("AND\t");
-            break;
-        case 5:
-            DECODE_PRINTF("SUB\t");
-            break;
-        case 6:
-            DECODE_PRINTF("XOR\t");
-            break;
-        case 7:
-            DECODE_PRINTF("CMP\t");
-            break;
-        }
-    }
-#endif
-    /* know operation, decode the mod byte to find the addressing
-       mode. */
-    if (mod < 3) {
-        DECODE_PRINTF("DWORD PTR ");
-        destoffset = decode_rmXX_address(mod,rl);
-
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval,imm;
-
-            destval = fetch_data_long(destoffset);
-            imm = (s8) fetch_byte_imm();
-            DECODE_PRINTF2(",%x\n", imm);
-            TRACE_AND_STEP();
-            destval = (*genop_long_operation[rh]) (destval, imm);
-            if (rh != 7)
-                store_data_long(destoffset, destval);
-        } else {
-            u16 destval,imm;
-
-            destval = fetch_data_word(destoffset);
-            imm = (s8) fetch_byte_imm();
-            DECODE_PRINTF2(",%x\n", imm);
-            TRACE_AND_STEP();
-            destval = (*genop_word_operation[rh]) (destval, imm);
-            if (rh != 7)
-                store_data_word(destoffset, destval);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg, imm;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            imm = (s8) fetch_byte_imm();
-            DECODE_PRINTF2(",%x\n", imm);
-            TRACE_AND_STEP();
-            *destreg = (*genop_long_operation[rh]) (*destreg, imm);
-        } else {
-            u16 *destreg, imm;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            imm = (s8) fetch_byte_imm();
-            DECODE_PRINTF2(",%x\n", imm);
-            TRACE_AND_STEP();
-            *destreg = (*genop_word_operation[rh]) (*destreg, imm);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x84
-****************************************************************************/
-static void x86emuOp_test_byte_RM_R(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u8 *destreg, *srcreg;
-    uint destoffset;
-    u8 destval;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("TEST\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",");
-        destval = fetch_data_byte(destoffset);
-        srcreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        test_byte(destval, *srcreg);
-    } else {                     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        DECODE_PRINTF(",");
-        srcreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        test_byte(*destreg, *srcreg);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x85
-****************************************************************************/
-static void x86emuOp_test_word_RM_R(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("TEST\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval;
-            u32 *srcreg;
-
-            DECODE_PRINTF(",");
-            destval = fetch_data_long(destoffset);
-            srcreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            test_long(destval, *srcreg);
-        } else {
-            u16 destval;
-            u16 *srcreg;
-
-            DECODE_PRINTF(",");
-            destval = fetch_data_word(destoffset);
-            srcreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            test_word(destval, *srcreg);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg,*srcreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            test_long(*destreg, *srcreg);
-        } else {
-            u16 *destreg,*srcreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            test_word(*destreg, *srcreg);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x86
-****************************************************************************/
-static void x86emuOp_xchg_byte_RM_R(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u8 *destreg, *srcreg;
-    uint destoffset;
-    u8 destval;
-    u8 tmp;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("XCHG\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",");
-        destval = fetch_data_byte(destoffset);
-        srcreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        tmp = *srcreg;
-        *srcreg = destval;
-        destval = tmp;
-        store_data_byte(destoffset, destval);
-    } else {                     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        DECODE_PRINTF(",");
-        srcreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        tmp = *srcreg;
-        *srcreg = *destreg;
-        *destreg = tmp;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x87
-****************************************************************************/
-static void x86emuOp_xchg_word_RM_R(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("XCHG\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",");
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *srcreg;
-            u32 destval,tmp;
-
-            destval = fetch_data_long(destoffset);
-            srcreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            tmp = *srcreg;
-            *srcreg = destval;
-            destval = tmp;
-            store_data_long(destoffset, destval);
-        } else {
-            u16 *srcreg;
-            u16 destval,tmp;
-
-            destval = fetch_data_word(destoffset);
-            srcreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            tmp = *srcreg;
-            *srcreg = destval;
-            destval = tmp;
-            store_data_word(destoffset, destval);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg,*srcreg;
-            u32 tmp;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            tmp = *srcreg;
-            *srcreg = *destreg;
-            *destreg = tmp;
-        } else {
-            u16 *destreg,*srcreg;
-            u16 tmp;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            tmp = *srcreg;
-            *srcreg = *destreg;
-            *destreg = tmp;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x88
-****************************************************************************/
-static void x86emuOp_mov_byte_RM_R(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u8 *destreg, *srcreg;
-    uint destoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",");
-        srcreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        store_data_byte(destoffset, *srcreg);
-    } else {                     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        DECODE_PRINTF(",");
-        srcreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *destreg = *srcreg;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x89
-****************************************************************************/
-static void x86emuOp_mov_word_RM_R(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *srcreg;
-
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            store_data_long(destoffset, *srcreg);
-        } else {
-            u16 *srcreg;
-
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            store_data_word(destoffset, *srcreg);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg,*srcreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = *srcreg;
-        } else {
-            u16 *destreg,*srcreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = *srcreg;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x8a
-****************************************************************************/
-static void x86emuOp_mov_byte_R_RM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u8 *destreg, *srcreg;
-    uint srcoffset;
-    u8 srcval;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcoffset = decode_rmXX_address(mod, rl);
-        srcval = fetch_data_byte(srcoffset);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *destreg = srcval;
-    } else {                     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcreg = DECODE_RM_BYTE_REGISTER(rl);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *destreg = *srcreg;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x8b
-****************************************************************************/
-static void x86emuOp_mov_word_R_RM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-            u32 srcval;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcoffset = decode_rmXX_address(mod, rl);
-            srcval = fetch_data_long(srcoffset);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = srcval;
-        } else {
-            u16 *destreg;
-            u16 srcval;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcoffset = decode_rmXX_address(mod, rl);
-            srcval = fetch_data_word(srcoffset);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = srcval;
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg, *srcreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = *srcreg;
-        } else {
-            u16 *destreg, *srcreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = *srcreg;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x8c
-****************************************************************************/
-static void x86emuOp_mov_word_RM_SR(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u16 *destreg, *srcreg;
-    uint destoffset;
-    u16 destval;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",");
-        srcreg = decode_rm_seg_register(rh);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        destval = *srcreg;
-        store_data_word(destoffset, destval);
-    } else {                     /* register to register */
-        destreg = DECODE_RM_WORD_REGISTER(rl);
-        DECODE_PRINTF(",");
-        srcreg = decode_rm_seg_register(rh);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *destreg = *srcreg;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x8d
-****************************************************************************/
-static void x86emuOp_lea_word_R_M(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u16 *srcreg;
-    uint destoffset;
-
-/*
- * TODO: Need to handle address size prefix!
- *
- * lea  eax,[eax+ebx*2] ??
- */
-
-    START_OF_INSTR();
-    DECODE_PRINTF("LEA\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        srcreg = DECODE_RM_WORD_REGISTER(rh);
-        DECODE_PRINTF(",");
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *srcreg = (u16)destoffset;
-        }
-    /* } else { undefined.  Do nothing. } */
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x8e
-****************************************************************************/
-static void x86emuOp_mov_word_SR_RM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u16 *destreg, *srcreg;
-    uint srcoffset;
-    u16 srcval;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destreg = decode_rm_seg_register(rh);
-        DECODE_PRINTF(",");
-        srcoffset = decode_rmXX_address(mod, rl);
-        srcval = fetch_data_word(srcoffset);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *destreg = srcval;
-    } else {                     /* register to register */
-        destreg = decode_rm_seg_register(rh);
-        DECODE_PRINTF(",");
-        srcreg = DECODE_RM_WORD_REGISTER(rl);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *destreg = *srcreg;
-    }
-    /*
-     * Clean up, and reset all the R_xSP pointers to the correct
-     * locations.  This is about 3x too much overhead (doing all the
-     * segreg ptrs when only one is needed, but this instruction
-     * *cannot* be that common, and this isn't too much work anyway.
-     */
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x8f
-****************************************************************************/
-static void x86emuOp_pop_RM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("POP\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (rh != 0) {
-        DECODE_PRINTF("ILLEGAL DECODE OF OPCODE 8F\n");
-        HALT_SYS();
-    }
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval;
-
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            destval = pop_long();
-            store_data_long(destoffset, destval);
-        } else {
-            u16 destval;
-
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            destval = pop_word();
-            store_data_word(destoffset, destval);
-        }
-    } else {                    /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = pop_long();
-        } else {
-            u16 *destreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = pop_word();
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x90
-****************************************************************************/
-static void x86emuOp_nop(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("NOP\n");
-    TRACE_AND_STEP();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x91-0x97
-****************************************************************************/
-static void x86emuOp_xchg_word_AX_register(u8 X86EMU_UNUSED(op1))
-{
-    u32 tmp;
-
-    op1 &= 0x7;
-
-    START_OF_INSTR();
-
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        u32 *reg32;
-        DECODE_PRINTF("XCHG\tEAX,");
-        reg32 = DECODE_RM_LONG_REGISTER(op1);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        tmp = M.x86.R_EAX;
-        M.x86.R_EAX = *reg32;
-        *reg32 = tmp;
-    } else {
-        u16 *reg16;
-        DECODE_PRINTF("XCHG\tAX,");
-        reg16 = DECODE_RM_WORD_REGISTER(op1);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        tmp = M.x86.R_AX;
-        M.x86.R_AX = *reg16;
-        *reg16 = (u16)tmp;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x98
-****************************************************************************/
-static void x86emuOp_cbw(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("CWDE\n");
-    } else {
-        DECODE_PRINTF("CBW\n");
-    }
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        if (M.x86.R_AX & 0x8000) {
-            M.x86.R_EAX |= 0xffff0000;
-        } else {
-            M.x86.R_EAX &= 0x0000ffff;
-        }
-    } else {
-        if (M.x86.R_AL & 0x80) {
-            M.x86.R_AH = 0xff;
-        } else {
-            M.x86.R_AH = 0x0;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x99
-****************************************************************************/
-static void x86emuOp_cwd(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("CDQ\n");
-    } else {
-        DECODE_PRINTF("CWD\n");
-    }
-    DECODE_PRINTF("CWD\n");
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        if (M.x86.R_EAX & 0x80000000) {
-            M.x86.R_EDX = 0xffffffff;
-        } else {
-            M.x86.R_EDX = 0x0;
-        }
-    } else {
-        if (M.x86.R_AX & 0x8000) {
-            M.x86.R_DX = 0xffff;
-        } else {
-            M.x86.R_DX = 0x0;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x9a
-****************************************************************************/
-static void x86emuOp_call_far_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u16 farseg, faroff;
-
-    START_OF_INSTR();
-       DECODE_PRINTF("CALL\t");
-       faroff = fetch_word_imm();
-       farseg = fetch_word_imm();
-       DECODE_PRINTF2("%04x:", farseg);
-       DECODE_PRINTF2("%04x\n", faroff);
-       CALL_TRACE(M.x86.saved_cs, M.x86.saved_ip, farseg, faroff, "FAR ");
-
-    /* XXX
-     *
-     * Hooked interrupt vectors calling into our "BIOS" will cause
-     * problems unless all intersegment stuff is checked for BIOS
-     * access.  Check needed here.  For moment, let it alone.
-     */
-    TRACE_AND_STEP();
-    push_word(M.x86.R_CS);
-    M.x86.R_CS = farseg;
-    push_word(M.x86.R_IP);
-    M.x86.R_IP = faroff;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x9b
-****************************************************************************/
-static void x86emuOp_wait(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("WAIT");
-    TRACE_AND_STEP();
-    /* NADA.  */
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x9c
-****************************************************************************/
-static void x86emuOp_pushf_word(u8 X86EMU_UNUSED(op1))
-{
-    u32 flags;
-
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("PUSHFD\n");
-    } else {
-        DECODE_PRINTF("PUSHF\n");
-    }
-    TRACE_AND_STEP();
-
-    /* clear out *all* bits not representing flags, and turn on real bits */
-    flags = (M.x86.R_EFLG & F_MSK) | F_ALWAYS_ON;
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        push_long(flags);
-    } else {
-        push_word((u16)flags);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x9d
-****************************************************************************/
-static void x86emuOp_popf_word(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("POPFD\n");
-    } else {
-        DECODE_PRINTF("POPF\n");
-    }
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        M.x86.R_EFLG = pop_long();
-    } else {
-        M.x86.R_FLG = pop_word();
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x9e
-****************************************************************************/
-static void x86emuOp_sahf(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("SAHF\n");
-    TRACE_AND_STEP();
-    /* clear the lower bits of the flag register */
-    M.x86.R_FLG &= 0xffffff00;
-    /* or in the AH register into the flags register */
-    M.x86.R_FLG |= M.x86.R_AH;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x9f
-****************************************************************************/
-static void x86emuOp_lahf(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("LAHF\n");
-    TRACE_AND_STEP();
-       M.x86.R_AH = (u8)(M.x86.R_FLG & 0xff);
-    /*undocumented TC++ behavior??? Nope.  It's documented, but
-       you have too look real hard to notice it. */
-    M.x86.R_AH |= 0x2;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xa0
-****************************************************************************/
-static void x86emuOp_mov_AL_M_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u16 offset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\tAL,");
-    offset = fetch_word_imm();
-    DECODE_PRINTF2("[%04x]\n", offset);
-    TRACE_AND_STEP();
-    M.x86.R_AL = fetch_data_byte(offset);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xa1
-****************************************************************************/
-static void x86emuOp_mov_AX_M_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u16 offset;
-
-    START_OF_INSTR();
-    offset = fetch_word_imm();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF2("MOV\tEAX,[%04x]\n", offset);
-    } else {
-        DECODE_PRINTF2("MOV\tAX,[%04x]\n", offset);
-    }
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        M.x86.R_EAX = fetch_data_long(offset);
-    } else {
-        M.x86.R_AX = fetch_data_word(offset);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xa2
-****************************************************************************/
-static void x86emuOp_mov_M_AL_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u16 offset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\t");
-    offset = fetch_word_imm();
-    DECODE_PRINTF2("[%04x],AL\n", offset);
-    TRACE_AND_STEP();
-    store_data_byte(offset, M.x86.R_AL);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xa3
-****************************************************************************/
-static void x86emuOp_mov_M_AX_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u16 offset;
-
-    START_OF_INSTR();
-    offset = fetch_word_imm();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF2("MOV\t[%04x],EAX\n", offset);
-    } else {
-        DECODE_PRINTF2("MOV\t[%04x],AX\n", offset);
-    }
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        store_data_long(offset, M.x86.R_EAX);
-    } else {
-        store_data_word(offset, M.x86.R_AX);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xa4
-****************************************************************************/
-static void x86emuOp_movs_byte(u8 X86EMU_UNUSED(op1))
-{
-    u8  val;
-    u32 count;
-    int inc;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOVS\tBYTE\n");
-    if (ACCESS_FLAG(F_DF))   /* down */
-        inc = -1;
-    else
-        inc = 1;
-    TRACE_AND_STEP();
-    count = 1;
-    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
-        /* dont care whether REPE or REPNE */
-        /* move them until (E)CX is ZERO. */
-        count = (M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX;
-        M.x86.R_CX = 0;
-       if (M.x86.mode & SYSMODE_32BIT_REP)
-            M.x86.R_ECX = 0;
-        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
-    }
-    while (count--) {
-        val = fetch_data_byte(M.x86.R_SI);
-        store_data_byte_abs(M.x86.R_ES, M.x86.R_DI, val);
-        M.x86.R_SI += inc;
-        M.x86.R_DI += inc;
-        if (M.x86.intr & INTR_HALTED)
-            break;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xa5
-****************************************************************************/
-static void x86emuOp_movs_word(u8 X86EMU_UNUSED(op1))
-{
-    u32 val;
-    int inc;
-    u32 count;
-
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("MOVS\tDWORD\n");
-        if (ACCESS_FLAG(F_DF))      /* down */
-            inc = -4;
-        else
-            inc = 4;
-    } else {
-        DECODE_PRINTF("MOVS\tWORD\n");
-        if (ACCESS_FLAG(F_DF))      /* down */
-            inc = -2;
-        else
-            inc = 2;
-    }
-    TRACE_AND_STEP();
-    count = 1;
-    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
-        /* dont care whether REPE or REPNE */
-        /* move them until (E)CX is ZERO. */
-        count = (M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX;
-        M.x86.R_CX = 0;
-       if (M.x86.mode & SYSMODE_32BIT_REP)
-            M.x86.R_ECX = 0;
-        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
-    }
-    while (count--) {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            val = fetch_data_long(M.x86.R_SI);
-            store_data_long_abs(M.x86.R_ES, M.x86.R_DI, val);
-        } else {
-            val = fetch_data_word(M.x86.R_SI);
-            store_data_word_abs(M.x86.R_ES, M.x86.R_DI, (u16)val);
-        }
-        M.x86.R_SI += inc;
-        M.x86.R_DI += inc;
-        if (M.x86.intr & INTR_HALTED)
-            break;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xa6
-****************************************************************************/
-static void x86emuOp_cmps_byte(u8 X86EMU_UNUSED(op1))
-{
-    s8 val1, val2;
-    int inc;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("CMPS\tBYTE\n");
-    TRACE_AND_STEP();
-    if (ACCESS_FLAG(F_DF))   /* down */
-        inc = -1;
-    else
-        inc = 1;
-
-    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
-        /* REPE  */
-        /* move them until (E)CX is ZERO. */
-        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
-            val1 = fetch_data_byte(M.x86.R_SI);
-            val2 = fetch_data_byte_abs(M.x86.R_ES, M.x86.R_DI);
-                     cmp_byte(val1, val2);
-            if (M.x86.mode & SYSMODE_32BIT_REP)
-                M.x86.R_ECX -= 1;
-            else
-                M.x86.R_CX -= 1;
-            M.x86.R_SI += inc;
-            M.x86.R_DI += inc;
-            if ( (M.x86.mode & SYSMODE_PREFIX_REPE) && (ACCESS_FLAG(F_ZF) == 0) ) break;
-            if ( (M.x86.mode & SYSMODE_PREFIX_REPNE) && ACCESS_FLAG(F_ZF) ) break;
-            if (M.x86.intr & INTR_HALTED)
-                break;
-        }
-        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
-    } else {
-        val1 = fetch_data_byte(M.x86.R_SI);
-        val2 = fetch_data_byte_abs(M.x86.R_ES, M.x86.R_DI);
-        cmp_byte(val1, val2);
-        M.x86.R_SI += inc;
-        M.x86.R_DI += inc;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xa7
-****************************************************************************/
-static void x86emuOp_cmps_word(u8 X86EMU_UNUSED(op1))
-{
-    u32 val1,val2;
-    int inc;
-
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("CMPS\tDWORD\n");
-        inc = 4;
-    } else {
-        DECODE_PRINTF("CMPS\tWORD\n");
-        inc = 2;
-    }
-    if (ACCESS_FLAG(F_DF))   /* down */
-        inc = -inc;
-
-    TRACE_AND_STEP();
-    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
-        /* REPE  */
-        /* move them until (E)CX is ZERO. */
-        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
-            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                val1 = fetch_data_long(M.x86.R_SI);
-                val2 = fetch_data_long_abs(M.x86.R_ES, M.x86.R_DI);
-                cmp_long(val1, val2);
-            } else {
-                val1 = fetch_data_word(M.x86.R_SI);
-                val2 = fetch_data_word_abs(M.x86.R_ES, M.x86.R_DI);
-                cmp_word((u16)val1, (u16)val2);
-            }
-            if (M.x86.mode & SYSMODE_32BIT_REP)
-                M.x86.R_ECX -= 1;
-            else
-                M.x86.R_CX -= 1;
-            M.x86.R_SI += inc;
-            M.x86.R_DI += inc;
-            if ( (M.x86.mode & SYSMODE_PREFIX_REPE) && ACCESS_FLAG(F_ZF) == 0 ) break;
-            if ( (M.x86.mode & SYSMODE_PREFIX_REPNE) && ACCESS_FLAG(F_ZF) ) break;
-            if (M.x86.intr & INTR_HALTED)
-                break;
-        }
-        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
-    } else {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            val1 = fetch_data_long(M.x86.R_SI);
-            val2 = fetch_data_long_abs(M.x86.R_ES, M.x86.R_DI);
-            cmp_long(val1, val2);
-        } else {
-            val1 = fetch_data_word(M.x86.R_SI);
-            val2 = fetch_data_word_abs(M.x86.R_ES, M.x86.R_DI);
-            cmp_word((u16)val1, (u16)val2);
-        }
-        M.x86.R_SI += inc;
-        M.x86.R_DI += inc;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xa8
-****************************************************************************/
-static void x86emuOp_test_AL_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int imm;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("TEST\tAL,");
-    imm = fetch_byte_imm();
-    DECODE_PRINTF2("%04x\n", imm);
-    TRACE_AND_STEP();
-       test_byte(M.x86.R_AL, (u8)imm);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xa9
-****************************************************************************/
-static void x86emuOp_test_AX_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u32 srcval;
-
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("TEST\tEAX,");
-        srcval = fetch_long_imm();
-    } else {
-        DECODE_PRINTF("TEST\tAX,");
-        srcval = fetch_word_imm();
-    }
-    DECODE_PRINTF2("%x\n", srcval);
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        test_long(M.x86.R_EAX, srcval);
-    } else {
-        test_word(M.x86.R_AX, (u16)srcval);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xaa
-****************************************************************************/
-static void x86emuOp_stos_byte(u8 X86EMU_UNUSED(op1))
-{
-    int inc;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("STOS\tBYTE\n");
-    if (ACCESS_FLAG(F_DF))   /* down */
-        inc = -1;
-    else
-        inc = 1;
-    TRACE_AND_STEP();
-    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
-        /* dont care whether REPE or REPNE */
-        /* move them until (E)CX is ZERO. */
-        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
-            store_data_byte_abs(M.x86.R_ES, M.x86.R_DI, M.x86.R_AL);
-            if (M.x86.mode & SYSMODE_32BIT_REP)
-                M.x86.R_ECX -= 1;
-            else
-                M.x86.R_CX -= 1;
-            M.x86.R_DI += inc;
-            if (M.x86.intr & INTR_HALTED)
-                break;
-        }
-        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
-    } else {
-        store_data_byte_abs(M.x86.R_ES, M.x86.R_DI, M.x86.R_AL);
-        M.x86.R_DI += inc;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xab
-****************************************************************************/
-static void x86emuOp_stos_word(u8 X86EMU_UNUSED(op1))
-{
-    int inc;
-    u32 count;
-
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("STOS\tDWORD\n");
-        if (ACCESS_FLAG(F_DF))   /* down */
-            inc = -4;
-        else
-            inc = 4;
-    } else {
-        DECODE_PRINTF("STOS\tWORD\n");
-        if (ACCESS_FLAG(F_DF))   /* down */
-            inc = -2;
-        else
-            inc = 2;
-    }
-    TRACE_AND_STEP();
-    count = 1;
-    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
-        /* dont care whether REPE or REPNE */
-        /* move them until (E)CX is ZERO. */
-        count = (M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX;
-        M.x86.R_CX = 0;
-       if (M.x86.mode & SYSMODE_32BIT_REP)
-            M.x86.R_ECX = 0;
-        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
-    }
-    while (count--) {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            store_data_long_abs(M.x86.R_ES, M.x86.R_DI, M.x86.R_EAX);
-        } else {
-            store_data_word_abs(M.x86.R_ES, M.x86.R_DI, M.x86.R_AX);
-        }
-        M.x86.R_DI += inc;
-        if (M.x86.intr & INTR_HALTED)
-            break;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xac
-****************************************************************************/
-static void x86emuOp_lods_byte(u8 X86EMU_UNUSED(op1))
-{
-    int inc;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("LODS\tBYTE\n");
-    TRACE_AND_STEP();
-    if (ACCESS_FLAG(F_DF))   /* down */
-        inc = -1;
-    else
-        inc = 1;
-    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
-        /* dont care whether REPE or REPNE */
-        /* move them until (E)CX is ZERO. */
-        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
-            M.x86.R_AL = fetch_data_byte(M.x86.R_SI);
-            if (M.x86.mode & SYSMODE_32BIT_REP)
-                M.x86.R_ECX -= 1;
-            else
-                M.x86.R_CX -= 1;
-            M.x86.R_SI += inc;
-            if (M.x86.intr & INTR_HALTED)
-                break;
-        }
-        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
-    } else {
-        M.x86.R_AL = fetch_data_byte(M.x86.R_SI);
-        M.x86.R_SI += inc;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xad
-****************************************************************************/
-static void x86emuOp_lods_word(u8 X86EMU_UNUSED(op1))
-{
-    int inc;
-    u32 count;
-
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("LODS\tDWORD\n");
-        if (ACCESS_FLAG(F_DF))   /* down */
-            inc = -4;
-        else
-            inc = 4;
-    } else {
-        DECODE_PRINTF("LODS\tWORD\n");
-        if (ACCESS_FLAG(F_DF))   /* down */
-            inc = -2;
-        else
-            inc = 2;
-    }
-    TRACE_AND_STEP();
-    count = 1;
-    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
-        /* dont care whether REPE or REPNE */
-        /* move them until (E)CX is ZERO. */
-        count = (M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX;
-        M.x86.R_CX = 0;
-       if (M.x86.mode & SYSMODE_32BIT_REP)
-            M.x86.R_ECX = 0;
-        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
-    }
-    while (count--) {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            M.x86.R_EAX = fetch_data_long(M.x86.R_SI);
-        } else {
-            M.x86.R_AX = fetch_data_word(M.x86.R_SI);
-        }
-        M.x86.R_SI += inc;
-        if (M.x86.intr & INTR_HALTED)
-            break;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xae
-****************************************************************************/
-static void x86emuOp_scas_byte(u8 X86EMU_UNUSED(op1))
-{
-    s8 val2;
-    int inc;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("SCAS\tBYTE\n");
-    TRACE_AND_STEP();
-    if (ACCESS_FLAG(F_DF))   /* down */
-        inc = -1;
-    else
-        inc = 1;
-    if (M.x86.mode & SYSMODE_PREFIX_REPE) {
-        /* REPE  */
-        /* move them until (E)CX is ZERO. */
-        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
-            val2 = fetch_data_byte_abs(M.x86.R_ES, M.x86.R_DI);
-            cmp_byte(M.x86.R_AL, val2);
-            if (M.x86.mode & SYSMODE_32BIT_REP)
-                M.x86.R_ECX -= 1;
-            else
-                M.x86.R_CX -= 1;
-            M.x86.R_DI += inc;
-            if (ACCESS_FLAG(F_ZF) == 0)
-                break;
-            if (M.x86.intr & INTR_HALTED)
-                break;
-        }
-        M.x86.mode &= ~SYSMODE_PREFIX_REPE;
-    } else if (M.x86.mode & SYSMODE_PREFIX_REPNE) {
-        /* REPNE  */
-        /* move them until (E)CX is ZERO. */
-        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
-            val2 = fetch_data_byte_abs(M.x86.R_ES, M.x86.R_DI);
-            cmp_byte(M.x86.R_AL, val2);
-            if (M.x86.mode & SYSMODE_32BIT_REP)
-                M.x86.R_ECX -= 1;
-            else
-                M.x86.R_CX -= 1;
-            M.x86.R_DI += inc;
-            if (ACCESS_FLAG(F_ZF))
-                break;          /* zero flag set means equal */
-            if (M.x86.intr & INTR_HALTED)
-                break;
-        }
-        M.x86.mode &= ~SYSMODE_PREFIX_REPNE;
-    } else {
-        val2 = fetch_data_byte_abs(M.x86.R_ES, M.x86.R_DI);
-        cmp_byte(M.x86.R_AL, val2);
-        M.x86.R_DI += inc;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xaf
-****************************************************************************/
-static void x86emuOp_scas_word(u8 X86EMU_UNUSED(op1))
-{
-    int inc;
-    u32 val;
-
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("SCAS\tDWORD\n");
-        if (ACCESS_FLAG(F_DF))   /* down */
-            inc = -4;
-        else
-            inc = 4;
-    } else {
-        DECODE_PRINTF("SCAS\tWORD\n");
-        if (ACCESS_FLAG(F_DF))   /* down */
-            inc = -2;
-        else
-            inc = 2;
-    }
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_REPE) {
-        /* REPE  */
-        /* move them until (E)CX is ZERO. */
-        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
-            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                val = fetch_data_long_abs(M.x86.R_ES, M.x86.R_DI);
-                cmp_long(M.x86.R_EAX, val);
-            } else {
-                val = fetch_data_word_abs(M.x86.R_ES, M.x86.R_DI);
-                cmp_word(M.x86.R_AX, (u16)val);
-            }
-            if (M.x86.mode & SYSMODE_32BIT_REP)
-                M.x86.R_ECX -= 1;
-            else
-                M.x86.R_CX -= 1;
-            M.x86.R_DI += inc;
-            if (ACCESS_FLAG(F_ZF) == 0)
-                break;
-            if (M.x86.intr & INTR_HALTED)
-                break;
-        }
-        M.x86.mode &= ~SYSMODE_PREFIX_REPE;
-    } else if (M.x86.mode & SYSMODE_PREFIX_REPNE) {
-        /* REPNE  */
-        /* move them until (E)CX is ZERO. */
-        while (((M.x86.mode & SYSMODE_32BIT_REP) ? M.x86.R_ECX : M.x86.R_CX) != 0) {
-            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                val = fetch_data_long_abs(M.x86.R_ES, M.x86.R_DI);
-                cmp_long(M.x86.R_EAX, val);
-            } else {
-                val = fetch_data_word_abs(M.x86.R_ES, M.x86.R_DI);
-                cmp_word(M.x86.R_AX, (u16)val);
-            }
-            if (M.x86.mode & SYSMODE_32BIT_REP)
-                M.x86.R_ECX -= 1;
-            else
-                M.x86.R_CX -= 1;
-            M.x86.R_DI += inc;
-            if (ACCESS_FLAG(F_ZF))
-                break;          /* zero flag set means equal */
-            if (M.x86.intr & INTR_HALTED)
-                break;
-        }
-        M.x86.mode &= ~SYSMODE_PREFIX_REPNE;
-    } else {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            val = fetch_data_long_abs(M.x86.R_ES, M.x86.R_DI);
-            cmp_long(M.x86.R_EAX, val);
-        } else {
-            val = fetch_data_word_abs(M.x86.R_ES, M.x86.R_DI);
-            cmp_word(M.x86.R_AX, (u16)val);
-        }
-        M.x86.R_DI += inc;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xb0 - 0xb7
-****************************************************************************/
-static void x86emuOp_mov_byte_register_IMM(u8 op1)
-{
-    u8 imm, *ptr;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\t");
-    ptr = DECODE_RM_BYTE_REGISTER(op1 & 0x7);
-    DECODE_PRINTF(",");
-    imm = fetch_byte_imm();
-    DECODE_PRINTF2("%x\n", imm);
-    TRACE_AND_STEP();
-    *ptr = imm;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xb8 - 0xbf
-****************************************************************************/
-static void x86emuOp_mov_word_register_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u32 srcval;
-
-    op1 &= 0x7;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\t");
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        u32 *reg32;
-        reg32 = DECODE_RM_LONG_REGISTER(op1);
-        srcval = fetch_long_imm();
-        DECODE_PRINTF2(",%x\n", srcval);
-        TRACE_AND_STEP();
-        *reg32 = srcval;
-    } else {
-        u16 *reg16;
-        reg16 = DECODE_RM_WORD_REGISTER(op1);
-        srcval = fetch_word_imm();
-        DECODE_PRINTF2(",%x\n", srcval);
-        TRACE_AND_STEP();
-        *reg16 = (u16)srcval;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xc0
-****************************************************************************/
-static void x86emuOp_opcC0_byte_RM_MEM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u8 *destreg;
-    uint destoffset;
-    u8 destval;
-    u8 amt;
-
-    /*
-     * Yet another weirdo special case instruction format.  Part of
-     * the opcode held below in "RH".  Doubly nested case would
-     * result, except that the decoded instruction
-     */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-
-        switch (rh) {
-        case 0:
-            DECODE_PRINTF("ROL\t");
-            break;
-        case 1:
-            DECODE_PRINTF("ROR\t");
-            break;
-        case 2:
-            DECODE_PRINTF("RCL\t");
-            break;
-        case 3:
-            DECODE_PRINTF("RCR\t");
-            break;
-        case 4:
-            DECODE_PRINTF("SHL\t");
-            break;
-        case 5:
-            DECODE_PRINTF("SHR\t");
-            break;
-        case 6:
-            DECODE_PRINTF("SAL\t");
-            break;
-        case 7:
-            DECODE_PRINTF("SAR\t");
-            break;
-        }
-    }
-#endif
-    /* know operation, decode the mod byte to find the addressing
-       mode. */
-    if (mod < 3) {
-        DECODE_PRINTF("BYTE PTR ");
-        destoffset = decode_rmXX_address(mod, rl);
-        amt = fetch_byte_imm();
-        DECODE_PRINTF2(",%x\n", amt);
-        destval = fetch_data_byte(destoffset);
-        TRACE_AND_STEP();
-        destval = (*opcD0_byte_operation[rh]) (destval, amt);
-        store_data_byte(destoffset, destval);
-    } else {                     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        amt = fetch_byte_imm();
-        DECODE_PRINTF2(",%x\n", amt);
-        TRACE_AND_STEP();
-        destval = (*opcD0_byte_operation[rh]) (*destreg, amt);
-        *destreg = destval;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xc1
-****************************************************************************/
-static void x86emuOp_opcC1_word_RM_MEM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8 amt;
-
-    /*
-     * Yet another weirdo special case instruction format.  Part of
-     * the opcode held below in "RH".  Doubly nested case would
-     * result, except that the decoded instruction
-     */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-
-        switch (rh) {
-        case 0:
-            DECODE_PRINTF("ROL\t");
-            break;
-        case 1:
-            DECODE_PRINTF("ROR\t");
-            break;
-        case 2:
-            DECODE_PRINTF("RCL\t");
-            break;
-        case 3:
-            DECODE_PRINTF("RCR\t");
-            break;
-        case 4:
-            DECODE_PRINTF("SHL\t");
-            break;
-        case 5:
-            DECODE_PRINTF("SHR\t");
-            break;
-        case 6:
-            DECODE_PRINTF("SAL\t");
-            break;
-        case 7:
-            DECODE_PRINTF("SAR\t");
-            break;
-        }
-    }
-#endif
-    /* know operation, decode the mod byte to find the addressing
-       mode. */
-    if (mod < 3) {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval;
-
-            DECODE_PRINTF("DWORD PTR ");
-            destoffset = decode_rmXX_address(mod, rl);
-            amt = fetch_byte_imm();
-            DECODE_PRINTF2(",%x\n", amt);
-            destval = fetch_data_long(destoffset);
-            TRACE_AND_STEP();
-            destval = (*opcD1_long_operation[rh]) (destval, amt);
-            store_data_long(destoffset, destval);
-        } else {
-            u16 destval;
-
-            DECODE_PRINTF("WORD PTR ");
-            destoffset = decode_rmXX_address(mod, rl);
-            amt = fetch_byte_imm();
-            DECODE_PRINTF2(",%x\n", amt);
-            destval = fetch_data_word(destoffset);
-            TRACE_AND_STEP();
-            destval = (*opcD1_word_operation[rh]) (destval, amt);
-            store_data_word(destoffset, destval);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            amt = fetch_byte_imm();
-            DECODE_PRINTF2(",%x\n", amt);
-            TRACE_AND_STEP();
-            *destreg = (*opcD1_long_operation[rh]) (*destreg, amt);
-        } else {
-            u16 *destreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            amt = fetch_byte_imm();
-            DECODE_PRINTF2(",%x\n", amt);
-            TRACE_AND_STEP();
-            *destreg = (*opcD1_word_operation[rh]) (*destreg, amt);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xc2
-****************************************************************************/
-static void x86emuOp_ret_near_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u16 imm;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("RET\t");
-    imm = fetch_word_imm();
-    DECODE_PRINTF2("%x\n", imm);
-       TRACE_AND_STEP();
-    M.x86.R_IP = pop_word();
-       RETURN_TRACE(M.x86.saved_cs,M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, "NEAR");
-    M.x86.R_SP += imm;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xc3
-****************************************************************************/
-static void x86emuOp_ret_near(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("RET\n");
-       TRACE_AND_STEP();
-    M.x86.R_IP = pop_word();
-       RETURN_TRACE(M.x86.saved_cs,M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, "NEAR");
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xc4
-****************************************************************************/
-static void x86emuOp_les_R_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rh, rl;
-    u16 *dstreg;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("LES\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        dstreg = DECODE_RM_WORD_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *dstreg = fetch_data_word(srcoffset);
-        M.x86.R_ES = fetch_data_word(srcoffset + 2);
-    }
-    /* else UNDEFINED!                   register to register */
-
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xc5
-****************************************************************************/
-static void x86emuOp_lds_R_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rh, rl;
-    u16 *dstreg;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("LDS\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        dstreg = DECODE_RM_WORD_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *dstreg = fetch_data_word(srcoffset);
-        M.x86.R_DS = fetch_data_word(srcoffset + 2);
-    }
-    /* else UNDEFINED! */
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xc6
-****************************************************************************/
-static void x86emuOp_mov_byte_RM_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u8 *destreg;
-    uint destoffset;
-    u8 imm;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (rh != 0) {
-        DECODE_PRINTF("ILLEGAL DECODE OF OPCODE c6\n");
-        HALT_SYS();
-    }
-    if (mod < 3) {
-        DECODE_PRINTF("BYTE PTR ");
-        destoffset = decode_rmXX_address(mod, rl);
-        imm = fetch_byte_imm();
-        DECODE_PRINTF2(",%2x\n", imm);
-        TRACE_AND_STEP();
-        store_data_byte(destoffset, imm);
-    } else {                     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        imm = fetch_byte_imm();
-        DECODE_PRINTF2(",%2x\n", imm);
-        TRACE_AND_STEP();
-        *destreg = imm;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xc7
-****************************************************************************/
-static void x86emuOp_mov_word_RM_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOV\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (rh != 0) {
-        DECODE_PRINTF("ILLEGAL DECODE OF OPCODE 8F\n");
-        HALT_SYS();
-    }
-    if (mod < 3) {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 imm;
-
-            DECODE_PRINTF("DWORD PTR ");
-            destoffset = decode_rmXX_address(mod, rl);
-            imm = fetch_long_imm();
-            DECODE_PRINTF2(",%x\n", imm);
-            TRACE_AND_STEP();
-            store_data_long(destoffset, imm);
-        } else {
-            u16 imm;
-
-            DECODE_PRINTF("WORD PTR ");
-            destoffset = decode_rmXX_address(mod, rl);
-            imm = fetch_word_imm();
-            DECODE_PRINTF2(",%x\n", imm);
-            TRACE_AND_STEP();
-            store_data_word(destoffset, imm);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                       u32 *destreg;
-                       u32 imm;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            imm = fetch_long_imm();
-            DECODE_PRINTF2(",%x\n", imm);
-            TRACE_AND_STEP();
-            *destreg = imm;
-        } else {
-                       u16 *destreg;
-                       u16 imm;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            imm = fetch_word_imm();
-            DECODE_PRINTF2(",%x\n", imm);
-            TRACE_AND_STEP();
-            *destreg = imm;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xc8
-****************************************************************************/
-static void x86emuOp_enter(u8 X86EMU_UNUSED(op1))
-{
-    u16 local,frame_pointer;
-    u8  nesting;
-    int i;
-
-    START_OF_INSTR();
-    local = fetch_word_imm();
-    nesting = fetch_byte_imm();
-    DECODE_PRINTF2("ENTER %x\n", local);
-    DECODE_PRINTF2(",%x\n", nesting);
-    TRACE_AND_STEP();
-    push_word(M.x86.R_BP);
-    frame_pointer = M.x86.R_SP;
-    if (nesting > 0) {
-        for (i = 1; i < nesting; i++) {
-            M.x86.R_BP -= 2;
-            push_word(fetch_data_word_abs(M.x86.R_SS, M.x86.R_BP));
-            }
-        push_word(frame_pointer);
-        }
-    M.x86.R_BP = frame_pointer;
-    M.x86.R_SP = (u16)(M.x86.R_SP - local);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xc9
-****************************************************************************/
-static void x86emuOp_leave(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("LEAVE\n");
-    TRACE_AND_STEP();
-    M.x86.R_SP = M.x86.R_BP;
-    M.x86.R_BP = pop_word();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xca
-****************************************************************************/
-static void x86emuOp_ret_far_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u16 imm;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("RETF\t");
-    imm = fetch_word_imm();
-    DECODE_PRINTF2("%x\n", imm);
-       TRACE_AND_STEP();
-    M.x86.R_IP = pop_word();
-    M.x86.R_CS = pop_word();
-       RETURN_TRACE(M.x86.saved_cs,M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, "FAR");
-    M.x86.R_SP += imm;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xcb
-****************************************************************************/
-static void x86emuOp_ret_far(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("RETF\n");
-       TRACE_AND_STEP();
-    M.x86.R_IP = pop_word();
-    M.x86.R_CS = pop_word();
-       RETURN_TRACE(M.x86.saved_cs,M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, "FAR");
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xcc
-****************************************************************************/
-static void x86emuOp_int3(u8 X86EMU_UNUSED(op1))
-{
-    u16 tmp;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("INT 3\n");
-    tmp = (u16) mem_access_word(3 * 4 + 2);
-    /* access the segment register */
-    TRACE_AND_STEP();
-       if (_X86EMU_intrTab[3]) {
-               (*_X86EMU_intrTab[3])(3);
-    } else {
-        push_word((u16)M.x86.R_FLG);
-        CLEAR_FLAG(F_IF);
-        CLEAR_FLAG(F_TF);
-        push_word(M.x86.R_CS);
-        M.x86.R_CS = mem_access_word(3 * 4 + 2);
-        push_word(M.x86.R_IP);
-        M.x86.R_IP = mem_access_word(3 * 4);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xcd
-****************************************************************************/
-static void x86emuOp_int_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u16 tmp;
-    u8 intnum;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("INT\t");
-    intnum = fetch_byte_imm();
-    DECODE_PRINTF2("%x\n", intnum);
-    tmp = mem_access_word(intnum * 4 + 2);
-    TRACE_AND_STEP();
-       if (_X86EMU_intrTab[intnum]) {
-               (*_X86EMU_intrTab[intnum])(intnum);
-    } else {
-        push_word((u16)M.x86.R_FLG);
-        CLEAR_FLAG(F_IF);
-        CLEAR_FLAG(F_TF);
-        push_word(M.x86.R_CS);
-        M.x86.R_CS = mem_access_word(intnum * 4 + 2);
-        push_word(M.x86.R_IP);
-        M.x86.R_IP = mem_access_word(intnum * 4);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xce
-****************************************************************************/
-static void x86emuOp_into(u8 X86EMU_UNUSED(op1))
-{
-    u16 tmp;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("INTO\n");
-    TRACE_AND_STEP();
-    if (ACCESS_FLAG(F_OF)) {
-        tmp = mem_access_word(4 * 4 + 2);
-               if (_X86EMU_intrTab[4]) {
-                       (*_X86EMU_intrTab[4])(4);
-        } else {
-            push_word((u16)M.x86.R_FLG);
-            CLEAR_FLAG(F_IF);
-            CLEAR_FLAG(F_TF);
-            push_word(M.x86.R_CS);
-            M.x86.R_CS = mem_access_word(4 * 4 + 2);
-            push_word(M.x86.R_IP);
-            M.x86.R_IP = mem_access_word(4 * 4);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xcf
-****************************************************************************/
-static void x86emuOp_iret(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("IRET\n");
-
-    TRACE_AND_STEP();
-
-    M.x86.R_IP = pop_word();
-    M.x86.R_CS = pop_word();
-    M.x86.R_FLG = pop_word();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xd0
-****************************************************************************/
-static void x86emuOp_opcD0_byte_RM_1(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u8 *destreg;
-    uint destoffset;
-    u8 destval;
-
-    /*
-     * Yet another weirdo special case instruction format.  Part of
-     * the opcode held below in "RH".  Doubly nested case would
-     * result, except that the decoded instruction
-     */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-        switch (rh) {
-        case 0:
-            DECODE_PRINTF("ROL\t");
-            break;
-        case 1:
-            DECODE_PRINTF("ROR\t");
-            break;
-        case 2:
-            DECODE_PRINTF("RCL\t");
-            break;
-        case 3:
-            DECODE_PRINTF("RCR\t");
-            break;
-        case 4:
-            DECODE_PRINTF("SHL\t");
-            break;
-        case 5:
-            DECODE_PRINTF("SHR\t");
-            break;
-        case 6:
-            DECODE_PRINTF("SAL\t");
-            break;
-        case 7:
-            DECODE_PRINTF("SAR\t");
-            break;
-        }
-    }
-#endif
-    /* know operation, decode the mod byte to find the addressing
-       mode. */
-    if (mod < 3) {
-        DECODE_PRINTF("BYTE PTR ");
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",1\n");
-        destval = fetch_data_byte(destoffset);
-        TRACE_AND_STEP();
-        destval = (*opcD0_byte_operation[rh]) (destval, 1);
-        store_data_byte(destoffset, destval);
-    } else {                     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        DECODE_PRINTF(",1\n");
-        TRACE_AND_STEP();
-        destval = (*opcD0_byte_operation[rh]) (*destreg, 1);
-        *destreg = destval;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xd1
-****************************************************************************/
-static void x86emuOp_opcD1_word_RM_1(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    /*
-     * Yet another weirdo special case instruction format.  Part of
-     * the opcode held below in "RH".  Doubly nested case would
-     * result, except that the decoded instruction
-     */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-        switch (rh) {
-        case 0:
-            DECODE_PRINTF("ROL\t");
-            break;
-        case 1:
-            DECODE_PRINTF("ROR\t");
-            break;
-        case 2:
-            DECODE_PRINTF("RCL\t");
-            break;
-        case 3:
-            DECODE_PRINTF("RCR\t");
-            break;
-        case 4:
-            DECODE_PRINTF("SHL\t");
-            break;
-        case 5:
-            DECODE_PRINTF("SHR\t");
-            break;
-        case 6:
-            DECODE_PRINTF("SAL\t");
-            break;
-        case 7:
-            DECODE_PRINTF("SAR\t");
-            break;
-        }
-    }
-#endif
-    /* know operation, decode the mod byte to find the addressing
-       mode. */
-    if (mod < 3) {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval;
-
-            DECODE_PRINTF("DWORD PTR ");
-            destoffset = decode_rmXX_address(mod, rl);
-            DECODE_PRINTF(",1\n");
-            destval = fetch_data_long(destoffset);
-            TRACE_AND_STEP();
-            destval = (*opcD1_long_operation[rh]) (destval, 1);
-            store_data_long(destoffset, destval);
-        } else {
-            u16 destval;
-
-            DECODE_PRINTF("WORD PTR ");
-            destoffset = decode_rmXX_address(mod, rl);
-            DECODE_PRINTF(",1\n");
-            destval = fetch_data_word(destoffset);
-            TRACE_AND_STEP();
-            destval = (*opcD1_word_operation[rh]) (destval, 1);
-            store_data_word(destoffset, destval);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                       u32 destval;
-                       u32 *destreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",1\n");
-            TRACE_AND_STEP();
-            destval = (*opcD1_long_operation[rh]) (*destreg, 1);
-            *destreg = destval;
-        } else {
-                       u16 destval;
-                       u16 *destreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",1\n");
-            TRACE_AND_STEP();
-            destval = (*opcD1_word_operation[rh]) (*destreg, 1);
-            *destreg = destval;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xd2
-****************************************************************************/
-static void x86emuOp_opcD2_byte_RM_CL(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u8 *destreg;
-    uint destoffset;
-    u8 destval;
-    u8 amt;
-
-    /*
-     * Yet another weirdo special case instruction format.  Part of
-     * the opcode held below in "RH".  Doubly nested case would
-     * result, except that the decoded instruction
-     */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-        switch (rh) {
-        case 0:
-            DECODE_PRINTF("ROL\t");
-            break;
-        case 1:
-            DECODE_PRINTF("ROR\t");
-            break;
-        case 2:
-            DECODE_PRINTF("RCL\t");
-            break;
-        case 3:
-            DECODE_PRINTF("RCR\t");
-            break;
-        case 4:
-            DECODE_PRINTF("SHL\t");
-            break;
-        case 5:
-            DECODE_PRINTF("SHR\t");
-            break;
-        case 6:
-            DECODE_PRINTF("SAL\t");
-            break;
-        case 7:
-            DECODE_PRINTF("SAR\t");
-            break;
-        }
-    }
-#endif
-    /* know operation, decode the mod byte to find the addressing
-       mode. */
-    amt = M.x86.R_CL;
-    if (mod < 3) {
-        DECODE_PRINTF("BYTE PTR ");
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",CL\n");
-        destval = fetch_data_byte(destoffset);
-        TRACE_AND_STEP();
-        destval = (*opcD0_byte_operation[rh]) (destval, amt);
-        store_data_byte(destoffset, destval);
-    } else {                     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        DECODE_PRINTF(",CL\n");
-        TRACE_AND_STEP();
-        destval = (*opcD0_byte_operation[rh]) (*destreg, amt);
-        *destreg = destval;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xd3
-****************************************************************************/
-static void x86emuOp_opcD3_word_RM_CL(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8 amt;
-
-    /*
-     * Yet another weirdo special case instruction format.  Part of
-     * the opcode held below in "RH".  Doubly nested case would
-     * result, except that the decoded instruction
-     */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-        switch (rh) {
-        case 0:
-            DECODE_PRINTF("ROL\t");
-            break;
-        case 1:
-            DECODE_PRINTF("ROR\t");
-            break;
-        case 2:
-            DECODE_PRINTF("RCL\t");
-            break;
-        case 3:
-            DECODE_PRINTF("RCR\t");
-            break;
-        case 4:
-            DECODE_PRINTF("SHL\t");
-            break;
-        case 5:
-            DECODE_PRINTF("SHR\t");
-            break;
-        case 6:
-            DECODE_PRINTF("SAL\t");
-            break;
-        case 7:
-            DECODE_PRINTF("SAR\t");
-            break;
-        }
-    }
-#endif
-    /* know operation, decode the mod byte to find the addressing
-       mode. */
-    amt = M.x86.R_CL;
-    if (mod < 3) {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval;
-
-            DECODE_PRINTF("DWORD PTR ");
-            destoffset = decode_rmXX_address(mod, rl);
-            DECODE_PRINTF(",CL\n");
-            destval = fetch_data_long(destoffset);
-            TRACE_AND_STEP();
-            destval = (*opcD1_long_operation[rh]) (destval, amt);
-            store_data_long(destoffset, destval);
-        } else {
-            u16 destval;
-
-            DECODE_PRINTF("WORD PTR ");
-            destoffset = decode_rmXX_address(mod, rl);
-            DECODE_PRINTF(",CL\n");
-            destval = fetch_data_word(destoffset);
-            TRACE_AND_STEP();
-            destval = (*opcD1_word_operation[rh]) (destval, amt);
-            store_data_word(destoffset, destval);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",CL\n");
-            TRACE_AND_STEP();
-            *destreg = (*opcD1_long_operation[rh]) (*destreg, amt);
-        } else {
-            u16 *destreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",CL\n");
-            TRACE_AND_STEP();
-            *destreg = (*opcD1_word_operation[rh]) (*destreg, amt);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xd4
-****************************************************************************/
-static void x86emuOp_aam(u8 X86EMU_UNUSED(op1))
-{
-    u8 a;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("AAM\n");
-    a = fetch_byte_imm();      /* this is a stupid encoding. */
-    if (a != 10) {
-        DECODE_PRINTF("ERROR DECODING AAM\n");
-        TRACE_REGS();
-        HALT_SYS();
-    }
-    TRACE_AND_STEP();
-    /* note the type change here --- returning AL and AH in AX. */
-    M.x86.R_AX = aam_word(M.x86.R_AL);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xd5
-****************************************************************************/
-static void x86emuOp_aad(u8 X86EMU_UNUSED(op1))
-{
-    u8 a;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("AAD\n");
-    a = fetch_byte_imm();
-    TRACE_AND_STEP();
-    M.x86.R_AX = aad_word(M.x86.R_AX);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/* opcode 0xd6 ILLEGAL OPCODE */
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xd7
-****************************************************************************/
-static void x86emuOp_xlat(u8 X86EMU_UNUSED(op1))
-{
-    u16 addr;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("XLAT\n");
-    TRACE_AND_STEP();
-       addr = (u16)(M.x86.R_BX + (u8)M.x86.R_AL);
-    M.x86.R_AL = fetch_data_byte(addr);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/* instuctions  D8 .. DF are in i87_ops.c */
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xe0
-****************************************************************************/
-static void x86emuOp_loopne(u8 X86EMU_UNUSED(op1))
-{
-    s16 ip;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("LOOPNE\t");
-    ip = (s8) fetch_byte_imm();
-    ip += (s16) M.x86.R_IP;
-    DECODE_PRINTF2("%04x\n", ip);
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_ADDR)
-        M.x86.R_ECX -= 1;
-    else
-        M.x86.R_CX -= 1;
-    if (((M.x86.mode & SYSMODE_PREFIX_ADDR) ? M.x86.R_ECX : M.x86.R_CX) != 0 && !ACCESS_FLAG(F_ZF))      /* (E)CX != 0 and !ZF */
-        M.x86.R_IP = ip;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xe1
-****************************************************************************/
-static void x86emuOp_loope(u8 X86EMU_UNUSED(op1))
-{
-    s16 ip;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("LOOPE\t");
-    ip = (s8) fetch_byte_imm();
-    ip += (s16) M.x86.R_IP;
-    DECODE_PRINTF2("%04x\n", ip);
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_ADDR)
-        M.x86.R_ECX -= 1;
-    else
-        M.x86.R_CX -= 1;
-    if (((M.x86.mode & SYSMODE_PREFIX_ADDR) ? M.x86.R_ECX : M.x86.R_CX) != 0 && ACCESS_FLAG(F_ZF))      /* (E)CX != 0 and ZF */
-        M.x86.R_IP = ip;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xe2
-****************************************************************************/
-static void x86emuOp_loop(u8 X86EMU_UNUSED(op1))
-{
-    s16 ip;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("LOOP\t");
-    ip = (s8) fetch_byte_imm();
-    ip += (s16) M.x86.R_IP;
-    DECODE_PRINTF2("%04x\n", ip);
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_ADDR)
-        M.x86.R_ECX -= 1;
-    else
-        M.x86.R_CX -= 1;
-    if (((M.x86.mode & SYSMODE_PREFIX_ADDR) ? M.x86.R_ECX : M.x86.R_CX) != 0)      /* (E)CX != 0 */
-        M.x86.R_IP = ip;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xe3
-****************************************************************************/
-static void x86emuOp_jcxz(u8 X86EMU_UNUSED(op1))
-{
-    u16 target;
-    s8  offset;
-
-    /* jump to byte offset if overflow flag is set */
-    START_OF_INSTR();
-    DECODE_PRINTF("JCXZ\t");
-    offset = (s8)fetch_byte_imm();
-    target = (u16)(M.x86.R_IP + offset);
-    DECODE_PRINTF2("%x\n", target);
-    TRACE_AND_STEP();
-    if (M.x86.R_CX == 0) {
-        M.x86.R_IP = target;
-       JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, " CXZ ");
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xe4
-****************************************************************************/
-static void x86emuOp_in_byte_AL_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u8 port;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("IN\t");
-       port = (u8) fetch_byte_imm();
-    DECODE_PRINTF2("%x,AL\n", port);
-    TRACE_AND_STEP();
-    M.x86.R_AL = (*sys_inb)(port);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xe5
-****************************************************************************/
-static void x86emuOp_in_word_AX_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u8 port;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("IN\t");
-       port = (u8) fetch_byte_imm();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF2("EAX,%x\n", port);
-    } else {
-        DECODE_PRINTF2("AX,%x\n", port);
-    }
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        M.x86.R_EAX = (*sys_inl)(port);
-    } else {
-        M.x86.R_AX = (*sys_inw)(port);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xe6
-****************************************************************************/
-static void x86emuOp_out_byte_IMM_AL(u8 X86EMU_UNUSED(op1))
-{
-    u8 port;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("OUT\t");
-       port = (u8) fetch_byte_imm();
-    DECODE_PRINTF2("%x,AL\n", port);
-    TRACE_AND_STEP();
-    (*sys_outb)(port, M.x86.R_AL);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xe7
-****************************************************************************/
-static void x86emuOp_out_word_IMM_AX(u8 X86EMU_UNUSED(op1))
-{
-    u8 port;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("OUT\t");
-       port = (u8) fetch_byte_imm();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF2("%x,EAX\n", port);
-    } else {
-        DECODE_PRINTF2("%x,AX\n", port);
-    }
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        (*sys_outl)(port, M.x86.R_EAX);
-    } else {
-        (*sys_outw)(port, M.x86.R_AX);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xe8
-****************************************************************************/
-static void x86emuOp_call_near_IMM(u8 X86EMU_UNUSED(op1))
-{
-    s16 ip;
-
-    START_OF_INSTR();
-       DECODE_PRINTF("CALL\t");
-       ip = (s16) fetch_word_imm();
-       ip += (s16) M.x86.R_IP;    /* CHECK SIGN */
-       DECODE_PRINTF2("%04x\n", ip);
-       CALL_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, ip, "");
-    TRACE_AND_STEP();
-    push_word(M.x86.R_IP);
-    M.x86.R_IP = ip;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xe9
-****************************************************************************/
-static void x86emuOp_jump_near_IMM(u8 X86EMU_UNUSED(op1))
-{
-    int ip;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("JMP\t");
-    ip = (s16)fetch_word_imm();
-    ip += (s16)M.x86.R_IP;
-    DECODE_PRINTF2("%04x\n", ip);
-    JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, ip, " NEAR ");
-    TRACE_AND_STEP();
-    M.x86.R_IP = (u16)ip;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xea
-****************************************************************************/
-static void x86emuOp_jump_far_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u16 cs, ip;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("JMP\tFAR ");
-    ip = fetch_word_imm();
-    cs = fetch_word_imm();
-    DECODE_PRINTF2("%04x:", cs);
-    DECODE_PRINTF2("%04x\n", ip);
-    JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, cs, ip, " FAR ");
-    TRACE_AND_STEP();
-    M.x86.R_IP = ip;
-    M.x86.R_CS = cs;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xeb
-****************************************************************************/
-static void x86emuOp_jump_byte_IMM(u8 X86EMU_UNUSED(op1))
-{
-    u16 target;
-    s8 offset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("JMP\t");
-    offset = (s8)fetch_byte_imm();
-    target = (u16)(M.x86.R_IP + offset);
-    DECODE_PRINTF2("%x\n", target);
-    JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, target, " BYTE ");
-    TRACE_AND_STEP();
-    M.x86.R_IP = target;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xec
-****************************************************************************/
-static void x86emuOp_in_byte_AL_DX(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("IN\tAL,DX\n");
-    TRACE_AND_STEP();
-    M.x86.R_AL = (*sys_inb)(M.x86.R_DX);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xed
-****************************************************************************/
-static void x86emuOp_in_word_AX_DX(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("IN\tEAX,DX\n");
-    } else {
-        DECODE_PRINTF("IN\tAX,DX\n");
-    }
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        M.x86.R_EAX = (*sys_inl)(M.x86.R_DX);
-    } else {
-        M.x86.R_AX = (*sys_inw)(M.x86.R_DX);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xee
-****************************************************************************/
-static void x86emuOp_out_byte_DX_AL(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("OUT\tDX,AL\n");
-    TRACE_AND_STEP();
-    (*sys_outb)(M.x86.R_DX, M.x86.R_AL);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xef
-****************************************************************************/
-static void x86emuOp_out_word_DX_AX(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        DECODE_PRINTF("OUT\tDX,EAX\n");
-    } else {
-        DECODE_PRINTF("OUT\tDX,AX\n");
-    }
-    TRACE_AND_STEP();
-    if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-        (*sys_outl)(M.x86.R_DX, M.x86.R_EAX);
-    } else {
-        (*sys_outw)(M.x86.R_DX, M.x86.R_AX);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xf0
-****************************************************************************/
-static void x86emuOp_lock(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("LOCK:\n");
-    TRACE_AND_STEP();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/*opcode 0xf1 ILLEGAL OPERATION */
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xf2
-****************************************************************************/
-static void x86emuOp_repne(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("REPNE\n");
-    TRACE_AND_STEP();
-    M.x86.mode |= SYSMODE_PREFIX_REPNE;
-    if (M.x86.mode & SYSMODE_PREFIX_ADDR)
-        M.x86.mode |= SYSMODE_32BIT_REP;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xf3
-****************************************************************************/
-static void x86emuOp_repe(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("REPE\n");
-    TRACE_AND_STEP();
-    M.x86.mode |= SYSMODE_PREFIX_REPE;
-    if (M.x86.mode & SYSMODE_PREFIX_ADDR)
-        M.x86.mode |= SYSMODE_32BIT_REP;
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xf4
-****************************************************************************/
-static void x86emuOp_halt(u8 X86EMU_UNUSED(op1))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("HALT\n");
-    TRACE_AND_STEP();
-    HALT_SYS();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xf5
-****************************************************************************/
-static void x86emuOp_cmc(u8 X86EMU_UNUSED(op1))
-{
-    /* complement the carry flag. */
-    START_OF_INSTR();
-    DECODE_PRINTF("CMC\n");
-    TRACE_AND_STEP();
-    TOGGLE_FLAG(F_CF);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xf6
-****************************************************************************/
-static void x86emuOp_opcF6_byte_RM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    u8 *destreg;
-    uint destoffset;
-    u8 destval, srcval;
-
-    /* long, drawn out code follows.  Double switch for a total
-       of 32 cases.  */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    DECODE_PRINTF(opF6_names[rh]);
-    if (mod < 3) {
-        DECODE_PRINTF("BYTE PTR ");
-        destoffset = decode_rmXX_address(mod, rl);
-        destval = fetch_data_byte(destoffset);
-
-        switch (rh) {
-        case 0:         /* test byte imm */
-            DECODE_PRINTF(",");
-            srcval = fetch_byte_imm();
-            DECODE_PRINTF2("%02x\n", srcval);
-            TRACE_AND_STEP();
-            test_byte(destval, srcval);
-            break;
-        case 1:
-            DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F6\n");
-            HALT_SYS();
-            break;
-        case 2:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            destval = not_byte(destval);
-            store_data_byte(destoffset, destval);
-            break;
-        case 3:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            destval = neg_byte(destval);
-            store_data_byte(destoffset, destval);
-            break;
-        case 4:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            mul_byte(destval);
-            break;
-        case 5:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            imul_byte(destval);
-            break;
-        case 6:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            div_byte(destval);
-            break;
-        default:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            idiv_byte(destval);
-            break;
-        }
-    } else {                     /* mod=11 */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        switch (rh) {
-        case 0:         /* test byte imm */
-            DECODE_PRINTF(",");
-            srcval = fetch_byte_imm();
-            DECODE_PRINTF2("%02x\n", srcval);
-            TRACE_AND_STEP();
-            test_byte(*destreg, srcval);
-            break;
-        case 1:
-            DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F6\n");
-            HALT_SYS();
-            break;
-        case 2:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = not_byte(*destreg);
-            break;
-        case 3:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = neg_byte(*destreg);
-            break;
-        case 4:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            mul_byte(*destreg);      /*!!!  */
-            break;
-        case 5:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            imul_byte(*destreg);
-            break;
-        case 6:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            div_byte(*destreg);
-            break;
-        default:
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            idiv_byte(*destreg);
-            break;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xf7
-****************************************************************************/
-static void x86emuOp_opcF7_word_RM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    DECODE_PRINTF(opF6_names[rh]);
-    if (mod < 3) {
-
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval, srcval;
-
-            DECODE_PRINTF("DWORD PTR ");
-            destoffset = decode_rmXX_address(mod, rl);
-            destval = fetch_data_long(destoffset);
-
-            switch (rh) {
-            case 0:
-                DECODE_PRINTF(",");
-                srcval = fetch_long_imm();
-                DECODE_PRINTF2("%x\n", srcval);
-                TRACE_AND_STEP();
-                test_long(destval, srcval);
-                break;
-            case 1:
-                DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F7\n");
-                HALT_SYS();
-                break;
-            case 2:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                destval = not_long(destval);
-                store_data_long(destoffset, destval);
-                break;
-            case 3:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                destval = neg_long(destval);
-                store_data_long(destoffset, destval);
-                break;
-            case 4:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                mul_long(destval);
-                break;
-            case 5:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                imul_long(destval);
-                break;
-            case 6:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                div_long(destval);
-                break;
-            case 7:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                idiv_long(destval);
-                break;
-            }
-        } else {
-            u16 destval, srcval;
-
-            DECODE_PRINTF("WORD PTR ");
-            destoffset = decode_rmXX_address(mod, rl);
-            destval = fetch_data_word(destoffset);
-
-            switch (rh) {
-            case 0:         /* test word imm */
-                DECODE_PRINTF(",");
-                srcval = fetch_word_imm();
-                DECODE_PRINTF2("%x\n", srcval);
-                TRACE_AND_STEP();
-                test_word(destval, srcval);
-                break;
-            case 1:
-                DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F7\n");
-                HALT_SYS();
-                break;
-            case 2:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                destval = not_word(destval);
-                store_data_word(destoffset, destval);
-                break;
-            case 3:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                destval = neg_word(destval);
-                store_data_word(destoffset, destval);
-                break;
-            case 4:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                mul_word(destval);
-                break;
-            case 5:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                imul_word(destval);
-                break;
-            case 6:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                div_word(destval);
-                break;
-            case 7:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                idiv_word(destval);
-                break;
-            }
-        }
-
-    } else {                     /* mod=11 */
-
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-            u32 srcval;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-
-            switch (rh) {
-            case 0:         /* test word imm */
-                DECODE_PRINTF(",");
-                srcval = fetch_long_imm();
-                DECODE_PRINTF2("%x\n", srcval);
-                TRACE_AND_STEP();
-                test_long(*destreg, srcval);
-                break;
-            case 1:
-                DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F6\n");
-                HALT_SYS();
-                break;
-            case 2:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                *destreg = not_long(*destreg);
-                break;
-            case 3:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                *destreg = neg_long(*destreg);
-                break;
-            case 4:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                mul_long(*destreg);      /*!!!  */
-                break;
-            case 5:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                imul_long(*destreg);
-                break;
-            case 6:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                div_long(*destreg);
-                break;
-            case 7:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                idiv_long(*destreg);
-                break;
-            }
-        } else {
-            u16 *destreg;
-            u16 srcval;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-
-            switch (rh) {
-            case 0:         /* test word imm */
-                DECODE_PRINTF(",");
-                srcval = fetch_word_imm();
-                DECODE_PRINTF2("%x\n", srcval);
-                TRACE_AND_STEP();
-                test_word(*destreg, srcval);
-                break;
-            case 1:
-                DECODE_PRINTF("ILLEGAL OP MOD=00 RH=01 OP=F6\n");
-                HALT_SYS();
-                break;
-            case 2:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                *destreg = not_word(*destreg);
-                break;
-            case 3:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                *destreg = neg_word(*destreg);
-                break;
-            case 4:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                mul_word(*destreg);      /*!!!  */
-                break;
-            case 5:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                imul_word(*destreg);
-                break;
-            case 6:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                div_word(*destreg);
-                break;
-            case 7:
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                idiv_word(*destreg);
-                break;
-            }
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xf8
-****************************************************************************/
-static void x86emuOp_clc(u8 X86EMU_UNUSED(op1))
-{
-    /* clear the carry flag. */
-    START_OF_INSTR();
-    DECODE_PRINTF("CLC\n");
-    TRACE_AND_STEP();
-    CLEAR_FLAG(F_CF);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xf9
-****************************************************************************/
-static void x86emuOp_stc(u8 X86EMU_UNUSED(op1))
-{
-    /* set the carry flag. */
-    START_OF_INSTR();
-    DECODE_PRINTF("STC\n");
-    TRACE_AND_STEP();
-    SET_FLAG(F_CF);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xfa
-****************************************************************************/
-static void x86emuOp_cli(u8 X86EMU_UNUSED(op1))
-{
-    /* clear interrupts. */
-    START_OF_INSTR();
-    DECODE_PRINTF("CLI\n");
-    TRACE_AND_STEP();
-    CLEAR_FLAG(F_IF);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xfb
-****************************************************************************/
-static void x86emuOp_sti(u8 X86EMU_UNUSED(op1))
-{
-    /* enable  interrupts. */
-    START_OF_INSTR();
-    DECODE_PRINTF("STI\n");
-    TRACE_AND_STEP();
-    SET_FLAG(F_IF);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xfc
-****************************************************************************/
-static void x86emuOp_cld(u8 X86EMU_UNUSED(op1))
-{
-    /* clear interrupts. */
-    START_OF_INSTR();
-    DECODE_PRINTF("CLD\n");
-    TRACE_AND_STEP();
-    CLEAR_FLAG(F_DF);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xfd
-****************************************************************************/
-static void x86emuOp_std(u8 X86EMU_UNUSED(op1))
-{
-    /* clear interrupts. */
-    START_OF_INSTR();
-    DECODE_PRINTF("STD\n");
-    TRACE_AND_STEP();
-    SET_FLAG(F_DF);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xfe
-****************************************************************************/
-static void x86emuOp_opcFE_byte_RM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rh, rl;
-    u8 destval;
-    uint destoffset;
-    u8 *destreg;
-
-    /* Yet another special case instruction. */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-
-        switch (rh) {
-        case 0:
-            DECODE_PRINTF("INC\t");
-            break;
-        case 1:
-            DECODE_PRINTF("DEC\t");
-            break;
-        case 2:
-        case 3:
-        case 4:
-        case 5:
-        case 6:
-        case 7:
-            DECODE_PRINTF2("ILLEGAL OP MAJOR OP 0xFE MINOR OP %x \n", mod);
-            HALT_SYS();
-            break;
-        }
-    }
-#endif
-    if (mod < 3) {
-        DECODE_PRINTF("BYTE PTR ");
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF("\n");
-        destval = fetch_data_byte(destoffset);
-        TRACE_AND_STEP();
-        if (rh == 0)
-          destval = inc_byte(destval);
-        else
-          destval = dec_byte(destval);
-        store_data_byte(destoffset, destval);
-    } else {
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        if (rh == 0)
-          *destreg = inc_byte(*destreg);
-        else
-          *destreg = dec_byte(*destreg);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0xff
-****************************************************************************/
-static void x86emuOp_opcFF_word_RM(u8 X86EMU_UNUSED(op1))
-{
-    int mod, rh, rl;
-    uint destoffset = 0;
-       u16 *destreg;
-       u32 *destreg32;
-       u16 destval,destval2;
-       u32 destval32;
-
-    /* Yet another special case instruction. */
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-#ifdef DEBUG
-    if (DEBUG_DECODE()) {
-        /* XXX DECODE_PRINTF may be changed to something more
-           general, so that it is important to leave the strings
-           in the same format, even though the result is that the
-           above test is done twice. */
-
-        switch (rh) {
-        case 0:
-            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                DECODE_PRINTF("INC\tDWORD PTR ");
-            } else {
-                DECODE_PRINTF("INC\tWORD PTR ");
-            }
-            break;
-        case 1:
-            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                DECODE_PRINTF("DEC\tDWORD PTR ");
-            } else {
-                DECODE_PRINTF("DEC\tWORD PTR ");
-            }
-            break;
-        case 2:
-            DECODE_PRINTF("CALL\t ");
-            break;
-        case 3:
-            DECODE_PRINTF("CALL\tFAR ");
-            break;
-        case 4:
-            DECODE_PRINTF("JMP\t");
-            break;
-        case 5:
-            DECODE_PRINTF("JMP\tFAR ");
-            break;
-        case 6:
-            DECODE_PRINTF("PUSH\t");
-            break;
-        case 7:
-            DECODE_PRINTF("ILLEGAL DECODING OF OPCODE FF\t");
-            HALT_SYS();
-            break;
-        }
-    }
-#endif
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF("\n");
-        switch (rh) {
-        case 0:         /* inc word ptr ... */
-            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                destval32 = fetch_data_long(destoffset);
-                TRACE_AND_STEP();
-                destval32 = inc_long(destval32);
-                store_data_long(destoffset, destval32);
-            } else {
-                destval = fetch_data_word(destoffset);
-                TRACE_AND_STEP();
-                destval = inc_word(destval);
-                store_data_word(destoffset, destval);
-            }
-            break;
-        case 1:         /* dec word ptr ... */
-            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                destval32 = fetch_data_long(destoffset);
-                TRACE_AND_STEP();
-                destval32 = dec_long(destval32);
-                store_data_long(destoffset, destval32);
-            } else {
-                destval = fetch_data_word(destoffset);
-                TRACE_AND_STEP();
-                destval = dec_word(destval);
-                store_data_word(destoffset, destval);
-            }
-            break;
-        case 2:         /* call word ptr ... */
-            destval = fetch_data_word(destoffset);
-            TRACE_AND_STEP();
-            push_word(M.x86.R_IP);
-            M.x86.R_IP = destval;
-            break;
-        case 3:         /* call far ptr ... */
-            destval = fetch_data_word(destoffset);
-            destval2 = fetch_data_word(destoffset + 2);
-            TRACE_AND_STEP();
-            push_word(M.x86.R_CS);
-            M.x86.R_CS = destval2;
-            push_word(M.x86.R_IP);
-            M.x86.R_IP = destval;
-            break;
-        case 4:         /* jmp word ptr ... */
-            destval = fetch_data_word(destoffset);
-            JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, destval, " WORD ");
-            TRACE_AND_STEP();
-            M.x86.R_IP = destval;
-            break;
-        case 5:         /* jmp far ptr ... */
-            destval = fetch_data_word(destoffset);
-            destval2 = fetch_data_word(destoffset + 2);
-            JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, destval2, destval, " FAR ");
-            TRACE_AND_STEP();
-            M.x86.R_IP = destval;
-            M.x86.R_CS = destval2;
-            break;
-        case 6:         /*  push word ptr ... */
-            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                destval32 = fetch_data_long(destoffset);
-                TRACE_AND_STEP();
-                push_long(destval32);
-            } else {
-                destval = fetch_data_word(destoffset);
-                TRACE_AND_STEP();
-                push_word(destval);
-            }
-            break;
-        }
-    } else {
-        switch (rh) {
-        case 0:
-            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                destreg32 = DECODE_RM_LONG_REGISTER(rl);
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                *destreg32 = inc_long(*destreg32);
-            } else {
-                destreg = DECODE_RM_WORD_REGISTER(rl);
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                *destreg = inc_word(*destreg);
-            }
-            break;
-        case 1:
-            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                destreg32 = DECODE_RM_LONG_REGISTER(rl);
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                *destreg32 = dec_long(*destreg32);
-            } else {
-                destreg = DECODE_RM_WORD_REGISTER(rl);
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                *destreg = dec_word(*destreg);
-            }
-            break;
-        case 2:         /* call word ptr ... */
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            push_word(M.x86.R_IP);
-            M.x86.R_IP = *destreg;
-            break;
-        case 3:         /* jmp far ptr ... */
-            DECODE_PRINTF("OPERATION UNDEFINED 0XFF \n");
-            TRACE_AND_STEP();
-            HALT_SYS();
-            break;
-
-        case 4:         /* jmp  ... */
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            M.x86.R_IP = (u16) (*destreg);
-            break;
-        case 5:         /* jmp far ptr ... */
-            DECODE_PRINTF("OPERATION UNDEFINED 0XFF \n");
-            TRACE_AND_STEP();
-            HALT_SYS();
-            break;
-        case 6:
-            if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-                destreg32 = DECODE_RM_LONG_REGISTER(rl);
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                push_long(*destreg32);
-            } else {
-                destreg = DECODE_RM_WORD_REGISTER(rl);
-                DECODE_PRINTF("\n");
-                TRACE_AND_STEP();
-                push_word(*destreg);
-            }
-            break;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/***************************************************************************
- * Single byte operation code table:
- **************************************************************************/
-void (*x86emu_optab[256])(u8) =
-{
-/*  0x00 */ x86emuOp_genop_byte_RM_R,
-/*  0x01 */ x86emuOp_genop_word_RM_R,
-/*  0x02 */ x86emuOp_genop_byte_R_RM,
-/*  0x03 */ x86emuOp_genop_word_R_RM,
-/*  0x04 */ x86emuOp_genop_byte_AL_IMM,
-/*  0x05 */ x86emuOp_genop_word_AX_IMM,
-/*  0x06 */ x86emuOp_push_ES,
-/*  0x07 */ x86emuOp_pop_ES,
-
-/*  0x08 */ x86emuOp_genop_byte_RM_R,
-/*  0x09 */ x86emuOp_genop_word_RM_R,
-/*  0x0a */ x86emuOp_genop_byte_R_RM,
-/*  0x0b */ x86emuOp_genop_word_R_RM,
-/*  0x0c */ x86emuOp_genop_byte_AL_IMM,
-/*  0x0d */ x86emuOp_genop_word_AX_IMM,
-/*  0x0e */ x86emuOp_push_CS,
-/*  0x0f */ x86emuOp_two_byte,
-
-/*  0x10 */ x86emuOp_genop_byte_RM_R,
-/*  0x11 */ x86emuOp_genop_word_RM_R,
-/*  0x12 */ x86emuOp_genop_byte_R_RM,
-/*  0x13 */ x86emuOp_genop_word_R_RM,
-/*  0x14 */ x86emuOp_genop_byte_AL_IMM,
-/*  0x15 */ x86emuOp_genop_word_AX_IMM,
-/*  0x16 */ x86emuOp_push_SS,
-/*  0x17 */ x86emuOp_pop_SS,
-
-/*  0x18 */ x86emuOp_genop_byte_RM_R,
-/*  0x19 */ x86emuOp_genop_word_RM_R,
-/*  0x1a */ x86emuOp_genop_byte_R_RM,
-/*  0x1b */ x86emuOp_genop_word_R_RM,
-/*  0x1c */ x86emuOp_genop_byte_AL_IMM,
-/*  0x1d */ x86emuOp_genop_word_AX_IMM,
-/*  0x1e */ x86emuOp_push_DS,
-/*  0x1f */ x86emuOp_pop_DS,
-
-/*  0x20 */ x86emuOp_genop_byte_RM_R,
-/*  0x21 */ x86emuOp_genop_word_RM_R,
-/*  0x22 */ x86emuOp_genop_byte_R_RM,
-/*  0x23 */ x86emuOp_genop_word_R_RM,
-/*  0x24 */ x86emuOp_genop_byte_AL_IMM,
-/*  0x25 */ x86emuOp_genop_word_AX_IMM,
-/*  0x26 */ x86emuOp_segovr_ES,
-/*  0x27 */ x86emuOp_daa,
-
-/*  0x28 */ x86emuOp_genop_byte_RM_R,
-/*  0x29 */ x86emuOp_genop_word_RM_R,
-/*  0x2a */ x86emuOp_genop_byte_R_RM,
-/*  0x2b */ x86emuOp_genop_word_R_RM,
-/*  0x2c */ x86emuOp_genop_byte_AL_IMM,
-/*  0x2d */ x86emuOp_genop_word_AX_IMM,
-/*  0x2e */ x86emuOp_segovr_CS,
-/*  0x2f */ x86emuOp_das,
-
-/*  0x30 */ x86emuOp_genop_byte_RM_R,
-/*  0x31 */ x86emuOp_genop_word_RM_R,
-/*  0x32 */ x86emuOp_genop_byte_R_RM,
-/*  0x33 */ x86emuOp_genop_word_R_RM,
-/*  0x34 */ x86emuOp_genop_byte_AL_IMM,
-/*  0x35 */ x86emuOp_genop_word_AX_IMM,
-/*  0x36 */ x86emuOp_segovr_SS,
-/*  0x37 */ x86emuOp_aaa,
-
-/*  0x38 */ x86emuOp_genop_byte_RM_R,
-/*  0x39 */ x86emuOp_genop_word_RM_R,
-/*  0x3a */ x86emuOp_genop_byte_R_RM,
-/*  0x3b */ x86emuOp_genop_word_R_RM,
-/*  0x3c */ x86emuOp_genop_byte_AL_IMM,
-/*  0x3d */ x86emuOp_genop_word_AX_IMM,
-/*  0x3e */ x86emuOp_segovr_DS,
-/*  0x3f */ x86emuOp_aas,
-
-/*  0x40 */ x86emuOp_inc_register,
-/*  0x41 */ x86emuOp_inc_register,
-/*  0x42 */ x86emuOp_inc_register,
-/*  0x43 */ x86emuOp_inc_register,
-/*  0x44 */ x86emuOp_inc_register,
-/*  0x45 */ x86emuOp_inc_register,
-/*  0x46 */ x86emuOp_inc_register,
-/*  0x47 */ x86emuOp_inc_register,
-
-/*  0x48 */ x86emuOp_dec_register,
-/*  0x49 */ x86emuOp_dec_register,
-/*  0x4a */ x86emuOp_dec_register,
-/*  0x4b */ x86emuOp_dec_register,
-/*  0x4c */ x86emuOp_dec_register,
-/*  0x4d */ x86emuOp_dec_register,
-/*  0x4e */ x86emuOp_dec_register,
-/*  0x4f */ x86emuOp_dec_register,
-
-/*  0x50 */ x86emuOp_push_register,
-/*  0x51 */ x86emuOp_push_register,
-/*  0x52 */ x86emuOp_push_register,
-/*  0x53 */ x86emuOp_push_register,
-/*  0x54 */ x86emuOp_push_register,
-/*  0x55 */ x86emuOp_push_register,
-/*  0x56 */ x86emuOp_push_register,
-/*  0x57 */ x86emuOp_push_register,
-
-/*  0x58 */ x86emuOp_pop_register,
-/*  0x59 */ x86emuOp_pop_register,
-/*  0x5a */ x86emuOp_pop_register,
-/*  0x5b */ x86emuOp_pop_register,
-/*  0x5c */ x86emuOp_pop_register,
-/*  0x5d */ x86emuOp_pop_register,
-/*  0x5e */ x86emuOp_pop_register,
-/*  0x5f */ x86emuOp_pop_register,
-
-/*  0x60 */ x86emuOp_push_all,
-/*  0x61 */ x86emuOp_pop_all,
-/*  0x62 */ x86emuOp_illegal_op,   /* bound */
-/*  0x63 */ x86emuOp_illegal_op,   /* arpl */
-/*  0x64 */ x86emuOp_segovr_FS,
-/*  0x65 */ x86emuOp_segovr_GS,
-/*  0x66 */ x86emuOp_prefix_data,
-/*  0x67 */ x86emuOp_prefix_addr,
-
-/*  0x68 */ x86emuOp_push_word_IMM,
-/*  0x69 */ x86emuOp_imul_word_IMM,
-/*  0x6a */ x86emuOp_push_byte_IMM,
-/*  0x6b */ x86emuOp_imul_byte_IMM,
-/*  0x6c */ x86emuOp_ins_byte,
-/*  0x6d */ x86emuOp_ins_word,
-/*  0x6e */ x86emuOp_outs_byte,
-/*  0x6f */ x86emuOp_outs_word,
-
-/*  0x70 */ x86emuOp_jump_near_cond,
-/*  0x71 */ x86emuOp_jump_near_cond,
-/*  0x72 */ x86emuOp_jump_near_cond,
-/*  0x73 */ x86emuOp_jump_near_cond,
-/*  0x74 */ x86emuOp_jump_near_cond,
-/*  0x75 */ x86emuOp_jump_near_cond,
-/*  0x76 */ x86emuOp_jump_near_cond,
-/*  0x77 */ x86emuOp_jump_near_cond,
-
-/*  0x78 */ x86emuOp_jump_near_cond,
-/*  0x79 */ x86emuOp_jump_near_cond,
-/*  0x7a */ x86emuOp_jump_near_cond,
-/*  0x7b */ x86emuOp_jump_near_cond,
-/*  0x7c */ x86emuOp_jump_near_cond,
-/*  0x7d */ x86emuOp_jump_near_cond,
-/*  0x7e */ x86emuOp_jump_near_cond,
-/*  0x7f */ x86emuOp_jump_near_cond,
-
-/*  0x80 */ x86emuOp_opc80_byte_RM_IMM,
-/*  0x81 */ x86emuOp_opc81_word_RM_IMM,
-/*  0x82 */ x86emuOp_opc82_byte_RM_IMM,
-/*  0x83 */ x86emuOp_opc83_word_RM_IMM,
-/*  0x84 */ x86emuOp_test_byte_RM_R,
-/*  0x85 */ x86emuOp_test_word_RM_R,
-/*  0x86 */ x86emuOp_xchg_byte_RM_R,
-/*  0x87 */ x86emuOp_xchg_word_RM_R,
-
-/*  0x88 */ x86emuOp_mov_byte_RM_R,
-/*  0x89 */ x86emuOp_mov_word_RM_R,
-/*  0x8a */ x86emuOp_mov_byte_R_RM,
-/*  0x8b */ x86emuOp_mov_word_R_RM,
-/*  0x8c */ x86emuOp_mov_word_RM_SR,
-/*  0x8d */ x86emuOp_lea_word_R_M,
-/*  0x8e */ x86emuOp_mov_word_SR_RM,
-/*  0x8f */ x86emuOp_pop_RM,
-
-/*  0x90 */ x86emuOp_nop,
-/*  0x91 */ x86emuOp_xchg_word_AX_register,
-/*  0x92 */ x86emuOp_xchg_word_AX_register,
-/*  0x93 */ x86emuOp_xchg_word_AX_register,
-/*  0x94 */ x86emuOp_xchg_word_AX_register,
-/*  0x95 */ x86emuOp_xchg_word_AX_register,
-/*  0x96 */ x86emuOp_xchg_word_AX_register,
-/*  0x97 */ x86emuOp_xchg_word_AX_register,
-
-/*  0x98 */ x86emuOp_cbw,
-/*  0x99 */ x86emuOp_cwd,
-/*  0x9a */ x86emuOp_call_far_IMM,
-/*  0x9b */ x86emuOp_wait,
-/*  0x9c */ x86emuOp_pushf_word,
-/*  0x9d */ x86emuOp_popf_word,
-/*  0x9e */ x86emuOp_sahf,
-/*  0x9f */ x86emuOp_lahf,
-
-/*  0xa0 */ x86emuOp_mov_AL_M_IMM,
-/*  0xa1 */ x86emuOp_mov_AX_M_IMM,
-/*  0xa2 */ x86emuOp_mov_M_AL_IMM,
-/*  0xa3 */ x86emuOp_mov_M_AX_IMM,
-/*  0xa4 */ x86emuOp_movs_byte,
-/*  0xa5 */ x86emuOp_movs_word,
-/*  0xa6 */ x86emuOp_cmps_byte,
-/*  0xa7 */ x86emuOp_cmps_word,
-/*  0xa8 */ x86emuOp_test_AL_IMM,
-/*  0xa9 */ x86emuOp_test_AX_IMM,
-/*  0xaa */ x86emuOp_stos_byte,
-/*  0xab */ x86emuOp_stos_word,
-/*  0xac */ x86emuOp_lods_byte,
-/*  0xad */ x86emuOp_lods_word,
-/*  0xac */ x86emuOp_scas_byte,
-/*  0xad */ x86emuOp_scas_word,
-
-/*  0xb0 */ x86emuOp_mov_byte_register_IMM,
-/*  0xb1 */ x86emuOp_mov_byte_register_IMM,
-/*  0xb2 */ x86emuOp_mov_byte_register_IMM,
-/*  0xb3 */ x86emuOp_mov_byte_register_IMM,
-/*  0xb4 */ x86emuOp_mov_byte_register_IMM,
-/*  0xb5 */ x86emuOp_mov_byte_register_IMM,
-/*  0xb6 */ x86emuOp_mov_byte_register_IMM,
-/*  0xb7 */ x86emuOp_mov_byte_register_IMM,
-
-/*  0xb8 */ x86emuOp_mov_word_register_IMM,
-/*  0xb9 */ x86emuOp_mov_word_register_IMM,
-/*  0xba */ x86emuOp_mov_word_register_IMM,
-/*  0xbb */ x86emuOp_mov_word_register_IMM,
-/*  0xbc */ x86emuOp_mov_word_register_IMM,
-/*  0xbd */ x86emuOp_mov_word_register_IMM,
-/*  0xbe */ x86emuOp_mov_word_register_IMM,
-/*  0xbf */ x86emuOp_mov_word_register_IMM,
-
-/*  0xc0 */ x86emuOp_opcC0_byte_RM_MEM,
-/*  0xc1 */ x86emuOp_opcC1_word_RM_MEM,
-/*  0xc2 */ x86emuOp_ret_near_IMM,
-/*  0xc3 */ x86emuOp_ret_near,
-/*  0xc4 */ x86emuOp_les_R_IMM,
-/*  0xc5 */ x86emuOp_lds_R_IMM,
-/*  0xc6 */ x86emuOp_mov_byte_RM_IMM,
-/*  0xc7 */ x86emuOp_mov_word_RM_IMM,
-/*  0xc8 */ x86emuOp_enter,
-/*  0xc9 */ x86emuOp_leave,
-/*  0xca */ x86emuOp_ret_far_IMM,
-/*  0xcb */ x86emuOp_ret_far,
-/*  0xcc */ x86emuOp_int3,
-/*  0xcd */ x86emuOp_int_IMM,
-/*  0xce */ x86emuOp_into,
-/*  0xcf */ x86emuOp_iret,
-
-/*  0xd0 */ x86emuOp_opcD0_byte_RM_1,
-/*  0xd1 */ x86emuOp_opcD1_word_RM_1,
-/*  0xd2 */ x86emuOp_opcD2_byte_RM_CL,
-/*  0xd3 */ x86emuOp_opcD3_word_RM_CL,
-/*  0xd4 */ x86emuOp_aam,
-/*  0xd5 */ x86emuOp_aad,
-/*  0xd6 */ x86emuOp_illegal_op,   /* Undocumented SETALC instruction */
-/*  0xd7 */ x86emuOp_xlat,
-/*  0xd8 */ x86emuOp_esc_coprocess_d8,
-/*  0xd9 */ x86emuOp_esc_coprocess_d9,
-/*  0xda */ x86emuOp_esc_coprocess_da,
-/*  0xdb */ x86emuOp_esc_coprocess_db,
-/*  0xdc */ x86emuOp_esc_coprocess_dc,
-/*  0xdd */ x86emuOp_esc_coprocess_dd,
-/*  0xde */ x86emuOp_esc_coprocess_de,
-/*  0xdf */ x86emuOp_esc_coprocess_df,
-
-/*  0xe0 */ x86emuOp_loopne,
-/*  0xe1 */ x86emuOp_loope,
-/*  0xe2 */ x86emuOp_loop,
-/*  0xe3 */ x86emuOp_jcxz,
-/*  0xe4 */ x86emuOp_in_byte_AL_IMM,
-/*  0xe5 */ x86emuOp_in_word_AX_IMM,
-/*  0xe6 */ x86emuOp_out_byte_IMM_AL,
-/*  0xe7 */ x86emuOp_out_word_IMM_AX,
-
-/*  0xe8 */ x86emuOp_call_near_IMM,
-/*  0xe9 */ x86emuOp_jump_near_IMM,
-/*  0xea */ x86emuOp_jump_far_IMM,
-/*  0xeb */ x86emuOp_jump_byte_IMM,
-/*  0xec */ x86emuOp_in_byte_AL_DX,
-/*  0xed */ x86emuOp_in_word_AX_DX,
-/*  0xee */ x86emuOp_out_byte_DX_AL,
-/*  0xef */ x86emuOp_out_word_DX_AX,
-
-/*  0xf0 */ x86emuOp_lock,
-/*  0xf1 */ x86emuOp_illegal_op,
-/*  0xf2 */ x86emuOp_repne,
-/*  0xf3 */ x86emuOp_repe,
-/*  0xf4 */ x86emuOp_halt,
-/*  0xf5 */ x86emuOp_cmc,
-/*  0xf6 */ x86emuOp_opcF6_byte_RM,
-/*  0xf7 */ x86emuOp_opcF7_word_RM,
-
-/*  0xf8 */ x86emuOp_clc,
-/*  0xf9 */ x86emuOp_stc,
-/*  0xfa */ x86emuOp_cli,
-/*  0xfb */ x86emuOp_sti,
-/*  0xfc */ x86emuOp_cld,
-/*  0xfd */ x86emuOp_std,
-/*  0xfe */ x86emuOp_opcFE_byte_RM,
-/*  0xff */ x86emuOp_opcFF_word_RM,
-};
diff --git a/util/x86emu/x86emu/ops.h b/util/x86emu/x86emu/ops.h
deleted file mode 100644 (file)
index 825b9ea..0000000
+++ /dev/null
@@ -1,47 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  Header file for operand decoding functions.
-*
-****************************************************************************/
-
-#ifndef __X86EMU_OPS_H
-#define __X86EMU_OPS_H
-
-extern void (*x86emu_optab[0x100])(u8 op1);
-extern void (*x86emu_optab2[0x100])(u8 op2);
-
-int x86emu_check_jump_condition(u8 op);
-
-#endif /* __X86EMU_OPS_H */
diff --git a/util/x86emu/x86emu/ops2.c b/util/x86emu/x86emu/ops2.c
deleted file mode 100644 (file)
index f5cb649..0000000
+++ /dev/null
@@ -1,1950 +0,0 @@
-/****************************************************************************
-*
-*                       Realmode X86 Emulator Library
-*
-*               Copyright (C) 1991-2004 SciTech Software, Inc.
-*                    Copyright (C) David Mosberger-Tang
-*                      Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:     ANSI C
-* Environment:  Any
-* Developer:    Kendall Bennett
-*
-* Description:  This file includes subroutines to implement the decoding
-*               and emulation of all the x86 extended two-byte processor
-*               instructions.
-*
-****************************************************************************/
-
-#include "x86emui.h"
-
-/*----------------------------- Implementation ----------------------------*/
-
-/****************************************************************************
-PARAMETERS:
-op1 - Instruction op code
-
-REMARKS:
-Handles illegal opcodes.
-****************************************************************************/
-static void x86emuOp2_illegal_op(u8 op2)
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("ILLEGAL EXTENDED X86 OPCODE\n");
-    TRACE_REGS();
-    printf("%04x:%04x: %02X ILLEGAL EXTENDED X86 OPCODE!\n",
-        M.x86.R_CS, M.x86.R_IP-2, op2);
-    HALT_SYS();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
- * REMARKS:
- * Handles opcode 0x0f,0x01
- * ****************************************************************************/
-
-static void x86emuOp2_opc_01(u8 op2)
-{
-  int mod, rl, rh;
-  u16 *destreg;
-  uint destoffset;
-
-  START_OF_INSTR();
-  FETCH_DECODE_MODRM(mod, rh, rl);
-
-  switch(rh) {
-  case 4: // SMSW (Store Machine Status Word)
-          // Decode the mod byte to find the addressing
-          // Dummy implementation: Always returns 0x10 (initial value as per intel manual volume 3, figure 8-1)
-#define SMSW_INITIAL_VALUE     0x10
-    DECODE_PRINTF("SMSW\t");
-    switch (mod) {
-    case 0:
-      destoffset = decode_rm00_address(rl);
-      store_data_word(destoffset, SMSW_INITIAL_VALUE);
-      break;
-    case 1:
-      destoffset = decode_rm01_address(rl);
-      store_data_word(destoffset, SMSW_INITIAL_VALUE);
-      break;
-    case 2:
-      destoffset = decode_rm10_address(rl);
-      store_data_word(destoffset, SMSW_INITIAL_VALUE);
-      break;
-    case 3:
-      destreg = DECODE_RM_WORD_REGISTER(rl);
-      *destreg = SMSW_INITIAL_VALUE;
-      break;
-    }
-    TRACE_AND_STEP();
-    DECODE_CLEAR_SEGOVR();
-    DECODE_PRINTF("\n");
-    break;
-  default:
-    DECODE_PRINTF("ILLEGAL EXTENDED X86 OPCODE IN 0F 01\n");
-    TRACE_REGS();
-    printf("%04x:%04x: %02X ILLEGAL EXTENDED X86 OPCODE!\n",
-        M.x86.R_CS, M.x86.R_IP-2, op2);
-    HALT_SYS();
-    break;
-  }
-
-  END_OF_INSTR();
-}
-
-/****************************************************************************
- * REMARKS:
- * Handles opcode 0x0f,0x08
- * ****************************************************************************/
-static void x86emuOp2_invd(u8 op2)
-{
-  START_OF_INSTR();
-  DECODE_PRINTF("INVD\n");
-  TRACE_AND_STEP();
-  DECODE_CLEAR_SEGOVR();
-  END_OF_INSTR();
-}
-
-/****************************************************************************
- * REMARKS:
- * Handles opcode 0x0f,0x09
- * ****************************************************************************/
-static void x86emuOp2_wbinvd(u8 op2)
-{
-  START_OF_INSTR();
-  DECODE_PRINTF("WBINVD\n");
-  TRACE_AND_STEP();
-  DECODE_CLEAR_SEGOVR();
-  END_OF_INSTR();
-}
-
-/****************************************************************************
- * REMARKS:
- * Handles opcode 0x0f,0x30
- * ****************************************************************************/
-static void x86emuOp2_wrmsr(u8 op2)
-{
-  /* dummy implementation, does nothing */
-
-  START_OF_INSTR();
-  DECODE_PRINTF("WRMSR\n");
-  TRACE_AND_STEP();
-  DECODE_CLEAR_SEGOVR();
-  END_OF_INSTR();
-}
-
-/****************************************************************************
- * REMARKS:
- * Handles opcode 0x0f,0x32
- * ****************************************************************************/
-static void x86emuOp2_rdmsr(u8 op2)
-{
-  /* dummy implementation, always return 0 */
-
-  START_OF_INSTR();
-  DECODE_PRINTF("RDMSR\n");
-  TRACE_AND_STEP();
-  M.x86.R_EDX = 0;
-  M.x86.R_EAX = 0;
-  DECODE_CLEAR_SEGOVR();
-  END_OF_INSTR();
-}  
-
-#define xorl(a,b)   (((a) && !(b)) || (!(a) && (b)))
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0x80-0x8F
-****************************************************************************/
-int x86emu_check_jump_condition(u8 op)
-{
-    switch (op) {
-      case 0x0:
-        DECODE_PRINTF("JO\t");
-        return ACCESS_FLAG(F_OF);
-      case 0x1:
-        DECODE_PRINTF("JNO\t");
-        return !ACCESS_FLAG(F_OF);
-        break;
-      case 0x2:
-        DECODE_PRINTF("JB\t");
-        return ACCESS_FLAG(F_CF);
-        break;
-      case 0x3:
-        DECODE_PRINTF("JNB\t");
-        return !ACCESS_FLAG(F_CF);
-        break;
-      case 0x4:
-        DECODE_PRINTF("JZ\t");
-        return ACCESS_FLAG(F_ZF);
-        break;
-      case 0x5:
-        DECODE_PRINTF("JNZ\t");
-        return !ACCESS_FLAG(F_ZF);
-        break;
-      case 0x6:
-        DECODE_PRINTF("JBE\t");
-        return ACCESS_FLAG(F_CF) || ACCESS_FLAG(F_ZF);
-        break;
-      case 0x7:
-        DECODE_PRINTF("JNBE\t");
-        return !(ACCESS_FLAG(F_CF) || ACCESS_FLAG(F_ZF));
-        break;
-      case 0x8:
-        DECODE_PRINTF("JS\t");
-        return ACCESS_FLAG(F_SF);
-        break;
-      case 0x9:
-        DECODE_PRINTF("JNS\t");
-        return !ACCESS_FLAG(F_SF);
-        break;
-      case 0xa:
-        DECODE_PRINTF("JP\t");
-        return ACCESS_FLAG(F_PF);
-        break;
-      case 0xb:
-        DECODE_PRINTF("JNP\t");
-        return !ACCESS_FLAG(F_PF);
-        break;
-      case 0xc:
-        DECODE_PRINTF("JL\t");
-        return xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF));
-        break;
-      case 0xd:
-        DECODE_PRINTF("JNL\t");
-        return !xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF));
-        break;
-      case 0xe:
-        DECODE_PRINTF("JLE\t");
-        return (xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF)) ||
-                ACCESS_FLAG(F_ZF));
-        break;
-      default:
-        DECODE_PRINTF("JNLE\t");
-        return !(xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF)) ||
-                 ACCESS_FLAG(F_ZF));
-    }
-}
-
-static void x86emuOp2_long_jump(u8 op2)
-{
-    s32 target;
-    int cond;
-
-    /* conditional jump to word offset. */
-    START_OF_INSTR();
-    cond = x86emu_check_jump_condition(op2 & 0xF);
-    target = (s16) fetch_word_imm();
-    target += (s16) M.x86.R_IP;
-    DECODE_PRINTF2("%04x\n", target);
-    TRACE_AND_STEP();
-    if (cond) {
-        M.x86.R_IP = (u16)target;
-       JMP_TRACE(M.x86.saved_cs, M.x86.saved_ip, M.x86.R_CS, M.x86.R_IP, " LONG COND ");
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xC8-0xCF
-****************************************************************************/
-static s32 x86emu_bswap(s32 reg)
-{
-   // perform the byte swap
-   s32 temp = reg;
-   reg = (temp & 0xFF000000) >> 24 |
-         (temp & 0xFF0000) >> 8 |
-         (temp & 0xFF00) << 8 |
-         (temp & 0xFF) << 24;
-   return reg;
-}
-
-static void x86emuOp2_bswap(u8 op2)
-{
-    /* byte swap 32 bit register */
-    START_OF_INSTR();
-    DECODE_PRINTF("BSWAP\t");
-    switch (op2) {
-      case 0xc8:
-        DECODE_PRINTF("EAX\n");
-        M.x86.R_EAX = x86emu_bswap(M.x86.R_EAX);
-        break;
-      case 0xc9:
-        DECODE_PRINTF("ECX\n");
-        M.x86.R_ECX = x86emu_bswap(M.x86.R_ECX);
-        break;
-      case 0xca:
-        DECODE_PRINTF("EDX\n");
-        M.x86.R_EDX = x86emu_bswap(M.x86.R_EDX);
-        break;
-      case 0xcb:
-        DECODE_PRINTF("EBX\n");
-        M.x86.R_EBX = x86emu_bswap(M.x86.R_EBX);
-        break;
-      case 0xcc:
-        DECODE_PRINTF("ESP\n");
-        M.x86.R_ESP = x86emu_bswap(M.x86.R_ESP);
-        break;
-      case 0xcd:
-        DECODE_PRINTF("EBP\n");
-        M.x86.R_EBP = x86emu_bswap(M.x86.R_EBP);
-        break;
-      case 0xce:
-        DECODE_PRINTF("ESI\n");
-        M.x86.R_ESI = x86emu_bswap(M.x86.R_ESI);
-        break;
-      case 0xcf:
-        DECODE_PRINTF("EDI\n");
-        M.x86.R_EDI = x86emu_bswap(M.x86.R_EDI);
-        break;
-    }
-    TRACE_AND_STEP();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0x90-0x9F
-****************************************************************************/
-static void x86emuOp2_set_byte(u8 op2)
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8  *destreg;
-    const char *name = 0;
-    int cond = 0;
-
-    START_OF_INSTR();
-    switch (op2) {
-      case 0x90:
-        name = "SETO\t";
-        cond =  ACCESS_FLAG(F_OF);
-        break;
-      case 0x91:
-        name = "SETNO\t";
-        cond = !ACCESS_FLAG(F_OF);
-        break;
-      case 0x92:
-        name = "SETB\t";
-        cond = ACCESS_FLAG(F_CF);
-        break;
-      case 0x93:
-        name = "SETNB\t";
-        cond = !ACCESS_FLAG(F_CF);
-        break;
-      case 0x94:
-        name = "SETZ\t";
-        cond = ACCESS_FLAG(F_ZF);
-        break;
-      case 0x95:
-        name = "SETNZ\t";
-        cond = !ACCESS_FLAG(F_ZF);
-        break;
-      case 0x96:
-        name = "SETBE\t";
-        cond = ACCESS_FLAG(F_CF) || ACCESS_FLAG(F_ZF);
-        break;
-      case 0x97:
-        name = "SETNBE\t";
-        cond = !(ACCESS_FLAG(F_CF) || ACCESS_FLAG(F_ZF));
-        break;
-      case 0x98:
-        name = "SETS\t";
-        cond = ACCESS_FLAG(F_SF);
-        break;
-      case 0x99:
-        name = "SETNS\t";
-        cond = !ACCESS_FLAG(F_SF);
-        break;
-      case 0x9a:
-        name = "SETP\t";
-        cond = ACCESS_FLAG(F_PF);
-        break;
-      case 0x9b:
-        name = "SETNP\t";
-        cond = !ACCESS_FLAG(F_PF);
-        break;
-      case 0x9c:
-        name = "SETL\t";
-        cond = xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF));
-        break;
-      case 0x9d:
-        name = "SETNL\t";
-        cond = !xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF));
-        break;
-      case 0x9e:
-        name = "SETLE\t";
-        cond = (xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF)) ||
-                ACCESS_FLAG(F_ZF));
-        break;
-      case 0x9f:
-        name = "SETNLE\t";
-        cond = !(xorl(ACCESS_FLAG(F_SF), ACCESS_FLAG(F_OF)) ||
-                 ACCESS_FLAG(F_ZF));
-        break;
-    }
-    DECODE_PRINTF(name);
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        TRACE_AND_STEP();
-        store_data_byte(destoffset, cond ? 0x01 : 0x00);
-    } else {                     /* register to register */
-        destreg = DECODE_RM_BYTE_REGISTER(rl);
-        TRACE_AND_STEP();
-        *destreg = cond ? 0x01 : 0x00;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xa0
-****************************************************************************/
-static void x86emuOp2_push_FS(u8 X86EMU_UNUSED(op2))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("PUSH\tFS\n");
-    TRACE_AND_STEP();
-    push_word(M.x86.R_FS);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xa1
-****************************************************************************/
-static void x86emuOp2_pop_FS(u8 X86EMU_UNUSED(op2))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("POP\tFS\n");
-    TRACE_AND_STEP();
-    M.x86.R_FS = pop_word();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS: CPUID takes EAX/ECX as inputs, writes EAX/EBX/ECX/EDX as output
-Handles opcode 0x0f,0xa2
-****************************************************************************/
-static void x86emuOp2_cpuid(u8 X86EMU_UNUSED(op2))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("CPUID\n");
-    TRACE_AND_STEP();
-    x86emu_cpuid();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xa3
-****************************************************************************/
-static void x86emuOp2_bt_R(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-    int bit,disp;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("BT\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        srcoffset = decode_rmXX_address(mod, rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 srcval;
-            u32 *shiftreg;
-
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0x1F;
-            disp = (s16)*shiftreg >> 5;
-            srcval = fetch_data_long(srcoffset+disp);
-            CONDITIONAL_SET_FLAG(srcval & (0x1 << bit),F_CF);
-        } else {
-            u16 srcval;
-            u16 *shiftreg;
-
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0xF;
-            disp = (s16)*shiftreg >> 4;
-            srcval = fetch_data_word(srcoffset+disp);
-            CONDITIONAL_SET_FLAG(srcval & (0x1 << bit),F_CF);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *srcreg,*shiftreg;
-
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0x1F;
-            CONDITIONAL_SET_FLAG(*srcreg & (0x1 << bit),F_CF);
-        } else {
-            u16 *srcreg,*shiftreg;
-
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0xF;
-            CONDITIONAL_SET_FLAG(*srcreg & (0x1 << bit),F_CF);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xa4
-****************************************************************************/
-static void x86emuOp2_shld_IMM(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8 shift;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("SHLD\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval;
-            u32 *shiftreg;
-
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            shift = fetch_byte_imm();
-            DECODE_PRINTF2("%d\n", shift);
-            TRACE_AND_STEP();
-            destval = fetch_data_long(destoffset);
-            destval = shld_long(destval,*shiftreg,shift);
-            store_data_long(destoffset, destval);
-        } else {
-            u16 destval;
-            u16 *shiftreg;
-
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            shift = fetch_byte_imm();
-            DECODE_PRINTF2("%d\n", shift);
-            TRACE_AND_STEP();
-            destval = fetch_data_word(destoffset);
-            destval = shld_word(destval,*shiftreg,shift);
-            store_data_word(destoffset, destval);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg,*shiftreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            shift = fetch_byte_imm();
-            DECODE_PRINTF2("%d\n", shift);
-            TRACE_AND_STEP();
-            *destreg = shld_long(*destreg,*shiftreg,shift);
-        } else {
-            u16 *destreg,*shiftreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            shift = fetch_byte_imm();
-            DECODE_PRINTF2("%d\n", shift);
-            TRACE_AND_STEP();
-            *destreg = shld_word(*destreg,*shiftreg,shift);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xa5
-****************************************************************************/
-static void x86emuOp2_shld_CL(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("SHLD\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval;
-            u32 *shiftreg;
-
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",CL\n");
-            TRACE_AND_STEP();
-            destval = fetch_data_long(destoffset);
-            destval = shld_long(destval,*shiftreg,M.x86.R_CL);
-            store_data_long(destoffset, destval);
-        } else {
-            u16 destval;
-            u16 *shiftreg;
-
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",CL\n");
-            TRACE_AND_STEP();
-            destval = fetch_data_word(destoffset);
-            destval = shld_word(destval,*shiftreg,M.x86.R_CL);
-            store_data_word(destoffset, destval);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg,*shiftreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",CL\n");
-            TRACE_AND_STEP();
-            *destreg = shld_long(*destreg,*shiftreg,M.x86.R_CL);
-        } else {
-            u16 *destreg,*shiftreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",CL\n");
-            TRACE_AND_STEP();
-            *destreg = shld_word(*destreg,*shiftreg,M.x86.R_CL);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xa8
-****************************************************************************/
-static void x86emuOp2_push_GS(u8 X86EMU_UNUSED(op2))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("PUSH\tGS\n");
-    TRACE_AND_STEP();
-    push_word(M.x86.R_GS);
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xa9
-****************************************************************************/
-static void x86emuOp2_pop_GS(u8 X86EMU_UNUSED(op2))
-{
-    START_OF_INSTR();
-    DECODE_PRINTF("POP\tGS\n");
-    TRACE_AND_STEP();
-    M.x86.R_GS = pop_word();
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xaa
-****************************************************************************/
-static void x86emuOp2_bts_R(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-    int bit,disp;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("BTS\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        srcoffset = decode_rmXX_address(mod, rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 srcval,mask;
-            u32 *shiftreg;
-
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0x1F;
-            disp = (s16)*shiftreg >> 5;
-            srcval = fetch_data_long(srcoffset+disp);
-            mask = (0x1 << bit);
-            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
-            store_data_long(srcoffset+disp, srcval | mask);
-        } else {
-            u16 srcval,mask;
-            u16 *shiftreg;
-
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0xF;
-            disp = (s16)*shiftreg >> 4;
-            srcval = fetch_data_word(srcoffset+disp);
-            mask = (u16)(0x1 << bit);
-            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
-            store_data_word(srcoffset+disp, srcval | mask);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *srcreg,*shiftreg;
-            u32 mask;
-
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0x1F;
-            mask = (0x1 << bit);
-            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
-            *srcreg |= mask;
-        } else {
-            u16 *srcreg,*shiftreg;
-            u16 mask;
-
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0xF;
-            mask = (u16)(0x1 << bit);
-            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
-            *srcreg |= mask;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xac
-****************************************************************************/
-static void x86emuOp2_shrd_IMM(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint destoffset;
-    u8 shift;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("SHLD\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval;
-            u32 *shiftreg;
-
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            shift = fetch_byte_imm();
-            DECODE_PRINTF2("%d\n", shift);
-            TRACE_AND_STEP();
-            destval = fetch_data_long(destoffset);
-            destval = shrd_long(destval,*shiftreg,shift);
-            store_data_long(destoffset, destval);
-        } else {
-            u16 destval;
-            u16 *shiftreg;
-
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            shift = fetch_byte_imm();
-            DECODE_PRINTF2("%d\n", shift);
-            TRACE_AND_STEP();
-            destval = fetch_data_word(destoffset);
-            destval = shrd_word(destval,*shiftreg,shift);
-            store_data_word(destoffset, destval);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg,*shiftreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            shift = fetch_byte_imm();
-            DECODE_PRINTF2("%d\n", shift);
-            TRACE_AND_STEP();
-            *destreg = shrd_long(*destreg,*shiftreg,shift);
-        } else {
-            u16 *destreg,*shiftreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            shift = fetch_byte_imm();
-            DECODE_PRINTF2("%d\n", shift);
-            TRACE_AND_STEP();
-            *destreg = shrd_word(*destreg,*shiftreg,shift);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xad
-****************************************************************************/
-static void x86emuOp2_shrd_CL(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint destoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("SHLD\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",");
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 destval;
-            u32 *shiftreg;
-
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",CL\n");
-            TRACE_AND_STEP();
-            destval = fetch_data_long(destoffset);
-            destval = shrd_long(destval,*shiftreg,M.x86.R_CL);
-            store_data_long(destoffset, destval);
-        } else {
-            u16 destval;
-            u16 *shiftreg;
-
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",CL\n");
-            TRACE_AND_STEP();
-            destval = fetch_data_word(destoffset);
-            destval = shrd_word(destval,*shiftreg,M.x86.R_CL);
-            store_data_word(destoffset, destval);
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg,*shiftreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",CL\n");
-            TRACE_AND_STEP();
-            *destreg = shrd_long(*destreg,*shiftreg,M.x86.R_CL);
-        } else {
-            u16 *destreg,*shiftreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",CL\n");
-            TRACE_AND_STEP();
-            *destreg = shrd_word(*destreg,*shiftreg,M.x86.R_CL);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xaf
-****************************************************************************/
-static void x86emuOp2_imul_R_RM(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("IMUL\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-            u32 srcval;
-            u32 res_lo,res_hi;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcoffset = decode_rmXX_address(mod, rl);
-            srcval = fetch_data_long(srcoffset);
-            TRACE_AND_STEP();
-            imul_long_direct(&res_lo,&res_hi,(s32)*destreg,(s32)srcval);
-            if (res_hi != 0) {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            } else {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            }
-            *destreg = (u32)res_lo;
-        } else {
-            u16 *destreg;
-            u16 srcval;
-            u32 res;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcoffset = decode_rmXX_address(mod, rl);
-            srcval = fetch_data_word(srcoffset);
-            TRACE_AND_STEP();
-            res = (s16)*destreg * (s16)srcval;
-            if (res > 0xFFFF) {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            } else {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            }
-            *destreg = (u16)res;
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg,*srcreg;
-            u32 res_lo,res_hi;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            TRACE_AND_STEP();
-            imul_long_direct(&res_lo,&res_hi,(s32)*destreg,(s32)*srcreg);
-            if (res_hi != 0) {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            } else {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            }
-            *destreg = (u32)res_lo;
-        } else {
-            u16 *destreg,*srcreg;
-            u32 res;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            res = (s16)*destreg * (s16)*srcreg;
-            if (res > 0xFFFF) {
-                SET_FLAG(F_CF);
-                SET_FLAG(F_OF);
-            } else {
-                CLEAR_FLAG(F_CF);
-                CLEAR_FLAG(F_OF);
-            }
-            *destreg = (u16)res;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xb2
-****************************************************************************/
-static void x86emuOp2_lss_R_IMM(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rh, rl;
-    u16 *dstreg;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("LSS\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        dstreg = DECODE_RM_WORD_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *dstreg = fetch_data_word(srcoffset);
-        M.x86.R_SS = fetch_data_word(srcoffset + 2);
-    } else {                     /* register to register */
-        /* UNDEFINED! */
-        TRACE_AND_STEP();
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xb3
-****************************************************************************/
-static void x86emuOp2_btr_R(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-    int bit,disp;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("BTR\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        srcoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",");
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 srcval,mask;
-            u32 *shiftreg;
-
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0x1F;
-            disp = (s16)*shiftreg >> 5;
-            srcval = fetch_data_long(srcoffset+disp);
-            mask = (0x1 << bit);
-            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
-            store_data_long(srcoffset+disp, srcval & ~mask);
-        } else {
-            u16 srcval,mask;
-            u16 *shiftreg;
-
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0xF;
-            disp = (s16)*shiftreg >> 4;
-            srcval = fetch_data_word(srcoffset+disp);
-            mask = (u16)(0x1 << bit);
-            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
-            store_data_word(srcoffset+disp, (u16)(srcval & ~mask));
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *srcreg,*shiftreg;
-            u32 mask;
-
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0x1F;
-            mask = (0x1 << bit);
-            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
-            *srcreg &= ~mask;
-        } else {
-            u16 *srcreg,*shiftreg;
-            u16 mask;
-
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0xF;
-            mask = (u16)(0x1 << bit);
-            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
-            *srcreg &= ~mask;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xb4
-****************************************************************************/
-static void x86emuOp2_lfs_R_IMM(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rh, rl;
-    u16 *dstreg;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("LFS\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        dstreg = DECODE_RM_WORD_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *dstreg = fetch_data_word(srcoffset);
-        M.x86.R_FS = fetch_data_word(srcoffset + 2);
-    } else {                     /* register to register */
-        /* UNDEFINED! */
-        TRACE_AND_STEP();
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xb5
-****************************************************************************/
-static void x86emuOp2_lgs_R_IMM(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rh, rl;
-    u16 *dstreg;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("LGS\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        dstreg = DECODE_RM_WORD_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *dstreg = fetch_data_word(srcoffset);
-        M.x86.R_GS = fetch_data_word(srcoffset + 2);
-    } else {                     /* register to register */
-        /* UNDEFINED! */
-        TRACE_AND_STEP();
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xb6
-****************************************************************************/
-static void x86emuOp2_movzx_byte_R_RM(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOVZX\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-            u32 srcval;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcoffset = decode_rmXX_address(mod, rl);
-            srcval = fetch_data_byte(srcoffset);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = srcval;
-        } else {
-            u16 *destreg;
-            u16 srcval;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcoffset = decode_rmXX_address(mod, rl);
-            srcval = fetch_data_byte(srcoffset);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = srcval;
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-            u8  *srcreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_BYTE_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = *srcreg;
-        } else {
-            u16 *destreg;
-            u8  *srcreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_BYTE_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = *srcreg;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xb7
-****************************************************************************/
-static void x86emuOp2_movzx_word_R_RM(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-    u32 *destreg;
-    u32 srcval;
-    u16 *srcreg;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOVZX\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destreg = DECODE_RM_LONG_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcoffset = decode_rmXX_address(mod, rl);
-        srcval = fetch_data_word(srcoffset);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *destreg = srcval;
-    } else {                     /* register to register */
-        destreg = DECODE_RM_LONG_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcreg = DECODE_RM_WORD_REGISTER(rl);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *destreg = *srcreg;
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xba
-****************************************************************************/
-static void x86emuOp2_btX_I(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-    u8 shift;
-    int bit;
-
-    START_OF_INSTR();
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    switch (rh) {
-    case 4:
-        DECODE_PRINTF("BT\t");
-        break;
-    case 5:
-        DECODE_PRINTF("BTS\t");
-        break;
-    case 6:
-        DECODE_PRINTF("BTR\t");
-        break;
-    case 7:
-        DECODE_PRINTF("BTC\t");
-        break;
-    default:
-        DECODE_PRINTF("ILLEGAL EXTENDED X86 OPCODE\n");
-        TRACE_REGS();
-        printf("%04x:%04x: %02X%02X ILLEGAL EXTENDED X86 OPCODE EXTENSION!\n",
-                M.x86.R_CS, M.x86.R_IP-3,op2, (mod<<6)|(rh<<3)|rl);
-        HALT_SYS();
-    }
-    if (mod < 3) {
-
-        srcoffset = decode_rmXX_address(mod, rl);
-        shift = fetch_byte_imm();
-        DECODE_PRINTF2(",%d\n", shift);
-        TRACE_AND_STEP();
-
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 srcval, mask;
-
-            bit = shift & 0x1F;
-            srcval = fetch_data_long(srcoffset);
-            mask = (0x1 << bit);
-            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
-            switch (rh) {
-            case 5:
-                store_data_long(srcoffset, srcval | mask);
-                break;
-            case 6:
-                store_data_long(srcoffset, srcval & ~mask);
-                break;
-            case 7:
-                store_data_long(srcoffset, srcval ^ mask);
-                break;
-            default:
-                break;
-            }
-        } else {
-            u16 srcval, mask;
-
-            bit = shift & 0xF;
-            srcval = fetch_data_word(srcoffset);
-            mask = (0x1 << bit);
-            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
-            switch (rh) {
-            case 5:
-                store_data_word(srcoffset, srcval | mask);
-                break;
-            case 6:
-                store_data_word(srcoffset, srcval & ~mask);
-                break;
-            case 7:
-                store_data_word(srcoffset, srcval ^ mask);
-                break;
-            default:
-                break;
-            }
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *srcreg;
-            u32 mask;
-
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            shift = fetch_byte_imm();
-            DECODE_PRINTF2(",%d\n", shift);
-            TRACE_AND_STEP();
-            bit = shift & 0x1F;
-            mask = (0x1 << bit);
-            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
-            switch (rh) {
-            case 5:
-                *srcreg |= mask;
-                break;
-            case 6:
-                *srcreg &= ~mask;
-                break;
-            case 7:
-                *srcreg ^= mask;
-                break;
-            default:
-                break;
-            }
-        } else {
-            u16 *srcreg;
-            u16 mask;
-
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            shift = fetch_byte_imm();
-            DECODE_PRINTF2(",%d\n", shift);
-            TRACE_AND_STEP();
-            bit = shift & 0xF;
-            mask = (0x1 << bit);
-            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
-            switch (rh) {
-            case 5:
-                *srcreg |= mask;
-                break;
-            case 6:
-                *srcreg &= ~mask;
-                break;
-            case 7:
-                *srcreg ^= mask;
-                break;
-            default:
-                break;
-            }
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xbb
-****************************************************************************/
-static void x86emuOp2_btc_R(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-    int bit,disp;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("BTC\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        srcoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",");
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 srcval,mask;
-            u32 *shiftreg;
-
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0x1F;
-            disp = (s16)*shiftreg >> 5;
-            srcval = fetch_data_long(srcoffset+disp);
-            mask = (0x1 << bit);
-            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
-            store_data_long(srcoffset+disp, srcval ^ mask);
-        } else {
-            u16 srcval,mask;
-            u16 *shiftreg;
-
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0xF;
-            disp = (s16)*shiftreg >> 4;
-            srcval = fetch_data_word(srcoffset+disp);
-            mask = (u16)(0x1 << bit);
-            CONDITIONAL_SET_FLAG(srcval & mask,F_CF);
-            store_data_word(srcoffset+disp, (u16)(srcval ^ mask));
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *srcreg,*shiftreg;
-            u32 mask;
-
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0x1F;
-            mask = (0x1 << bit);
-            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
-            *srcreg ^= mask;
-        } else {
-            u16 *srcreg,*shiftreg;
-            u16 mask;
-
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            shiftreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            bit = *shiftreg & 0xF;
-            mask = (u16)(0x1 << bit);
-            CONDITIONAL_SET_FLAG(*srcreg & mask,F_CF);
-            *srcreg ^= mask;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xbc
-****************************************************************************/
-static void x86emuOp2_bsf(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("BSF\n");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        srcoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",");
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 srcval, *dstreg;
-
-            dstreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            srcval = fetch_data_long(srcoffset);
-            CONDITIONAL_SET_FLAG(srcval == 0, F_ZF);
-            for(*dstreg = 0; *dstreg < 32; (*dstreg)++)
-                if ((srcval >> *dstreg) & 1) break;
-        } else {
-            u16 srcval, *dstreg;
-
-            dstreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            srcval = fetch_data_word(srcoffset);
-            CONDITIONAL_SET_FLAG(srcval == 0, F_ZF);
-            for(*dstreg = 0; *dstreg < 16; (*dstreg)++)
-                if ((srcval >> *dstreg) & 1) break;
-        }
-    } else {             /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *srcreg, *dstreg;
-
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            dstreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            CONDITIONAL_SET_FLAG(*srcreg == 0, F_ZF);
-            for(*dstreg = 0; *dstreg < 32; (*dstreg)++)
-                if ((*srcreg >> *dstreg) & 1) break;
-        } else {
-            u16 *srcreg, *dstreg;
-
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            dstreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            CONDITIONAL_SET_FLAG(*srcreg == 0, F_ZF);
-            for(*dstreg = 0; *dstreg < 16; (*dstreg)++)
-                if ((*srcreg >> *dstreg) & 1) break;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xbd
-****************************************************************************/
-static void x86emuOp2_bsr(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("BSF\n");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        srcoffset = decode_rmXX_address(mod, rl);
-        DECODE_PRINTF(",");
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 srcval, *dstreg;
-
-            dstreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            srcval = fetch_data_long(srcoffset);
-            CONDITIONAL_SET_FLAG(srcval == 0, F_ZF);
-            for(*dstreg = 31; *dstreg > 0; (*dstreg)--)
-                if ((srcval >> *dstreg) & 1) break;
-        } else {
-            u16 srcval, *dstreg;
-
-            dstreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            srcval = fetch_data_word(srcoffset);
-            CONDITIONAL_SET_FLAG(srcval == 0, F_ZF);
-            for(*dstreg = 15; *dstreg > 0; (*dstreg)--)
-                if ((srcval >> *dstreg) & 1) break;
-        }
-    } else {             /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *srcreg, *dstreg;
-
-            srcreg = DECODE_RM_LONG_REGISTER(rl);
-            DECODE_PRINTF(",");
-            dstreg = DECODE_RM_LONG_REGISTER(rh);
-            TRACE_AND_STEP();
-            CONDITIONAL_SET_FLAG(*srcreg == 0, F_ZF);
-            for(*dstreg = 31; *dstreg > 0; (*dstreg)--)
-                if ((*srcreg >> *dstreg) & 1) break;
-        } else {
-            u16 *srcreg, *dstreg;
-
-            srcreg = DECODE_RM_WORD_REGISTER(rl);
-            DECODE_PRINTF(",");
-            dstreg = DECODE_RM_WORD_REGISTER(rh);
-            TRACE_AND_STEP();
-            CONDITIONAL_SET_FLAG(*srcreg == 0, F_ZF);
-            for(*dstreg = 15; *dstreg > 0; (*dstreg)--)
-                if ((*srcreg >> *dstreg) & 1) break;
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xbe
-****************************************************************************/
-static void x86emuOp2_movsx_byte_R_RM(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOVSX\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-            u32 srcval;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcoffset = decode_rmXX_address(mod, rl);
-            srcval = (s32)((s8)fetch_data_byte(srcoffset));
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = srcval;
-        } else {
-            u16 *destreg;
-            u16 srcval;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcoffset = decode_rmXX_address(mod, rl);
-            srcval = (s16)((s8)fetch_data_byte(srcoffset));
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = srcval;
-        }
-    } else {                     /* register to register */
-        if (M.x86.mode & SYSMODE_PREFIX_DATA) {
-            u32 *destreg;
-            u8  *srcreg;
-
-            destreg = DECODE_RM_LONG_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_BYTE_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = (s32)((s8)*srcreg);
-        } else {
-            u16 *destreg;
-            u8  *srcreg;
-
-            destreg = DECODE_RM_WORD_REGISTER(rh);
-            DECODE_PRINTF(",");
-            srcreg = DECODE_RM_BYTE_REGISTER(rl);
-            DECODE_PRINTF("\n");
-            TRACE_AND_STEP();
-            *destreg = (s16)((s8)*srcreg);
-        }
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/****************************************************************************
-REMARKS:
-Handles opcode 0x0f,0xbf
-****************************************************************************/
-static void x86emuOp2_movsx_word_R_RM(u8 X86EMU_UNUSED(op2))
-{
-    int mod, rl, rh;
-    uint srcoffset;
-    u32 *destreg;
-    u32 srcval;
-    u16 *srcreg;
-
-    START_OF_INSTR();
-    DECODE_PRINTF("MOVSX\t");
-    FETCH_DECODE_MODRM(mod, rh, rl);
-    if (mod < 3) {
-        destreg = DECODE_RM_LONG_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcoffset = decode_rmXX_address(mod, rl);
-        srcval = (s32)((s16)fetch_data_word(srcoffset));
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *destreg = srcval;
-    } else {                     /* register to register */
-        destreg = DECODE_RM_LONG_REGISTER(rh);
-        DECODE_PRINTF(",");
-        srcreg = DECODE_RM_WORD_REGISTER(rl);
-        DECODE_PRINTF("\n");
-        TRACE_AND_STEP();
-        *destreg = (s32)((s16)*srcreg);
-    }
-    DECODE_CLEAR_SEGOVR();
-    END_OF_INSTR();
-}
-
-/***************************************************************************
- * Double byte operation code table:
- **************************************************************************/
-void (*x86emu_optab2[256])(u8) =
-{
-/*  0x00 */ x86emuOp2_illegal_op,  /* Group F (ring 0 PM)      */
-/*  0x01 */ x86emuOp2_opc_01,      /* Group G (ring 0 PM)      */
-/*  0x02 */ x86emuOp2_illegal_op,  /* lar (ring 0 PM)          */
-/*  0x03 */ x86emuOp2_illegal_op,  /* lsl (ring 0 PM)          */
-/*  0x04 */ x86emuOp2_illegal_op,
-/*  0x05 */ x86emuOp2_illegal_op,  /* loadall (undocumented)   */
-/*  0x06 */ x86emuOp2_illegal_op,  /* clts (ring 0 PM)         */
-/*  0x07 */ x86emuOp2_illegal_op,  /* loadall (undocumented)   */
-/*  0x08 */ x86emuOp2_invd,        /* invd (ring 0 PM)         */
-/*  0x09 */ x86emuOp2_wbinvd,      /* wbinvd (ring 0 PM)       */
-/*  0x0a */ x86emuOp2_illegal_op,
-/*  0x0b */ x86emuOp2_illegal_op,
-/*  0x0c */ x86emuOp2_illegal_op,
-/*  0x0d */ x86emuOp2_illegal_op,
-/*  0x0e */ x86emuOp2_illegal_op,
-/*  0x0f */ x86emuOp2_illegal_op,
-
-/*  0x10 */ x86emuOp2_illegal_op,
-/*  0x11 */ x86emuOp2_illegal_op,
-/*  0x12 */ x86emuOp2_illegal_op,
-/*  0x13 */ x86emuOp2_illegal_op,
-/*  0x14 */ x86emuOp2_illegal_op,
-/*  0x15 */ x86emuOp2_illegal_op,
-/*  0x16 */ x86emuOp2_illegal_op,
-/*  0x17 */ x86emuOp2_illegal_op,
-/*  0x18 */ x86emuOp2_illegal_op,
-/*  0x19 */ x86emuOp2_illegal_op,
-/*  0x1a */ x86emuOp2_illegal_op,
-/*  0x1b */ x86emuOp2_illegal_op,
-/*  0x1c */ x86emuOp2_illegal_op,
-/*  0x1d */ x86emuOp2_illegal_op,
-/*  0x1e */ x86emuOp2_illegal_op,
-/*  0x1f */ x86emuOp2_illegal_op,
-
-/*  0x20 */ x86emuOp2_illegal_op,  /* mov reg32,creg (ring 0 PM) */
-/*  0x21 */ x86emuOp2_illegal_op,  /* mov reg32,dreg (ring 0 PM) */
-/*  0x22 */ x86emuOp2_illegal_op,  /* mov creg,reg32 (ring 0 PM) */
-/*  0x23 */ x86emuOp2_illegal_op,  /* mov dreg,reg32 (ring 0 PM) */
-/*  0x24 */ x86emuOp2_illegal_op,  /* mov reg32,treg (ring 0 PM) */
-/*  0x25 */ x86emuOp2_illegal_op,
-/*  0x26 */ x86emuOp2_illegal_op,  /* mov treg,reg32 (ring 0 PM) */
-/*  0x27 */ x86emuOp2_illegal_op,
-/*  0x28 */ x86emuOp2_illegal_op,
-/*  0x29 */ x86emuOp2_illegal_op,
-/*  0x2a */ x86emuOp2_illegal_op,
-/*  0x2b */ x86emuOp2_illegal_op,
-/*  0x2c */ x86emuOp2_illegal_op,
-/*  0x2d */ x86emuOp2_illegal_op,
-/*  0x2e */ x86emuOp2_illegal_op,
-/*  0x2f */ x86emuOp2_illegal_op,
-
-/*  0x30 */ x86emuOp2_wrmsr,
-/*  0x31 */ x86emuOp2_illegal_op,
-/*  0x32 */ x86emuOp2_rdmsr,
-/*  0x33 */ x86emuOp2_illegal_op,
-/*  0x34 */ x86emuOp2_illegal_op,
-/*  0x35 */ x86emuOp2_illegal_op,
-/*  0x36 */ x86emuOp2_illegal_op,
-/*  0x37 */ x86emuOp2_illegal_op,
-/*  0x38 */ x86emuOp2_illegal_op,
-/*  0x39 */ x86emuOp2_illegal_op,
-/*  0x3a */ x86emuOp2_illegal_op,
-/*  0x3b */ x86emuOp2_illegal_op,
-/*  0x3c */ x86emuOp2_illegal_op,
-/*  0x3d */ x86emuOp2_illegal_op,
-/*  0x3e */ x86emuOp2_illegal_op,
-/*  0x3f */ x86emuOp2_illegal_op,
-
-/*  0x40 */ x86emuOp2_illegal_op,
-/*  0x41 */ x86emuOp2_illegal_op,
-/*  0x42 */ x86emuOp2_illegal_op,
-/*  0x43 */ x86emuOp2_illegal_op,
-/*  0x44 */ x86emuOp2_illegal_op,
-/*  0x45 */ x86emuOp2_illegal_op,
-/*  0x46 */ x86emuOp2_illegal_op,
-/*  0x47 */ x86emuOp2_illegal_op,
-/*  0x48 */ x86emuOp2_illegal_op,
-/*  0x49 */ x86emuOp2_illegal_op,
-/*  0x4a */ x86emuOp2_illegal_op,
-/*  0x4b */ x86emuOp2_illegal_op,
-/*  0x4c */ x86emuOp2_illegal_op,
-/*  0x4d */ x86emuOp2_illegal_op,
-/*  0x4e */ x86emuOp2_illegal_op,
-/*  0x4f */ x86emuOp2_illegal_op,
-
-/*  0x50 */ x86emuOp2_illegal_op,
-/*  0x51 */ x86emuOp2_illegal_op,
-/*  0x52 */ x86emuOp2_illegal_op,
-/*  0x53 */ x86emuOp2_illegal_op,
-/*  0x54 */ x86emuOp2_illegal_op,
-/*  0x55 */ x86emuOp2_illegal_op,
-/*  0x56 */ x86emuOp2_illegal_op,
-/*  0x57 */ x86emuOp2_illegal_op,
-/*  0x58 */ x86emuOp2_illegal_op,
-/*  0x59 */ x86emuOp2_illegal_op,
-/*  0x5a */ x86emuOp2_illegal_op,
-/*  0x5b */ x86emuOp2_illegal_op,
-/*  0x5c */ x86emuOp2_illegal_op,
-/*  0x5d */ x86emuOp2_illegal_op,
-/*  0x5e */ x86emuOp2_illegal_op,
-/*  0x5f */ x86emuOp2_illegal_op,
-
-/*  0x60 */ x86emuOp2_illegal_op,
-/*  0x61 */ x86emuOp2_illegal_op,
-/*  0x62 */ x86emuOp2_illegal_op,
-/*  0x63 */ x86emuOp2_illegal_op,
-/*  0x64 */ x86emuOp2_illegal_op,
-/*  0x65 */ x86emuOp2_illegal_op,
-/*  0x66 */ x86emuOp2_illegal_op,
-/*  0x67 */ x86emuOp2_illegal_op,
-/*  0x68 */ x86emuOp2_illegal_op,
-/*  0x69 */ x86emuOp2_illegal_op,
-/*  0x6a */ x86emuOp2_illegal_op,
-/*  0x6b */ x86emuOp2_illegal_op,
-/*  0x6c */ x86emuOp2_illegal_op,
-/*  0x6d */ x86emuOp2_illegal_op,
-/*  0x6e */ x86emuOp2_illegal_op,
-/*  0x6f */ x86emuOp2_illegal_op,
-
-/*  0x70 */ x86emuOp2_illegal_op,
-/*  0x71 */ x86emuOp2_illegal_op,
-/*  0x72 */ x86emuOp2_illegal_op,
-/*  0x73 */ x86emuOp2_illegal_op,
-/*  0x74 */ x86emuOp2_illegal_op,
-/*  0x75 */ x86emuOp2_illegal_op,
-/*  0x76 */ x86emuOp2_illegal_op,
-/*  0x77 */ x86emuOp2_illegal_op,
-/*  0x78 */ x86emuOp2_illegal_op,
-/*  0x79 */ x86emuOp2_illegal_op,
-/*  0x7a */ x86emuOp2_illegal_op,
-/*  0x7b */ x86emuOp2_illegal_op,
-/*  0x7c */ x86emuOp2_illegal_op,
-/*  0x7d */ x86emuOp2_illegal_op,
-/*  0x7e */ x86emuOp2_illegal_op,
-/*  0x7f */ x86emuOp2_illegal_op,
-
-/*  0x80 */ x86emuOp2_long_jump,
-/*  0x81 */ x86emuOp2_long_jump,
-/*  0x82 */ x86emuOp2_long_jump,
-/*  0x83 */ x86emuOp2_long_jump,
-/*  0x84 */ x86emuOp2_long_jump,
-/*  0x85 */ x86emuOp2_long_jump,
-/*  0x86 */ x86emuOp2_long_jump,
-/*  0x87 */ x86emuOp2_long_jump,
-/*  0x88 */ x86emuOp2_long_jump,
-/*  0x89 */ x86emuOp2_long_jump,
-/*  0x8a */ x86emuOp2_long_jump,
-/*  0x8b */ x86emuOp2_long_jump,
-/*  0x8c */ x86emuOp2_long_jump,
-/*  0x8d */ x86emuOp2_long_jump,
-/*  0x8e */ x86emuOp2_long_jump,
-/*  0x8f */ x86emuOp2_long_jump,
-
-/*  0x90 */ x86emuOp2_set_byte,
-/*  0x91 */ x86emuOp2_set_byte,
-/*  0x92 */ x86emuOp2_set_byte,
-/*  0x93 */ x86emuOp2_set_byte,
-/*  0x94 */ x86emuOp2_set_byte,
-/*  0x95 */ x86emuOp2_set_byte,
-/*  0x96 */ x86emuOp2_set_byte,
-/*  0x97 */ x86emuOp2_set_byte,
-/*  0x98 */ x86emuOp2_set_byte,
-/*  0x99 */ x86emuOp2_set_byte,
-/*  0x9a */ x86emuOp2_set_byte,
-/*  0x9b */ x86emuOp2_set_byte,
-/*  0x9c */ x86emuOp2_set_byte,
-/*  0x9d */ x86emuOp2_set_byte,
-/*  0x9e */ x86emuOp2_set_byte,
-/*  0x9f */ x86emuOp2_set_byte,
-
-/*  0xa0 */ x86emuOp2_push_FS,
-/*  0xa1 */ x86emuOp2_pop_FS,
-/*  0xa2 */ x86emuOp2_cpuid,
-/*  0xa3 */ x86emuOp2_bt_R,
-/*  0xa4 */ x86emuOp2_shld_IMM,
-/*  0xa5 */ x86emuOp2_shld_CL,
-/*  0xa6 */ x86emuOp2_illegal_op,
-/*  0xa7 */ x86emuOp2_illegal_op,
-/*  0xa8 */ x86emuOp2_push_GS,
-/*  0xa9 */ x86emuOp2_pop_GS,
-/*  0xaa */ x86emuOp2_illegal_op,
-/*  0xab */ x86emuOp2_bts_R,
-/*  0xac */ x86emuOp2_shrd_IMM,
-/*  0xad */ x86emuOp2_shrd_CL,
-/*  0xae */ x86emuOp2_illegal_op,
-/*  0xaf */ x86emuOp2_imul_R_RM,
-
-/*  0xb0 */ x86emuOp2_illegal_op,  /* TODO: cmpxchg */
-/*  0xb1 */ x86emuOp2_illegal_op,  /* TODO: cmpxchg */
-/*  0xb2 */ x86emuOp2_lss_R_IMM,
-/*  0xb3 */ x86emuOp2_btr_R,
-/*  0xb4 */ x86emuOp2_lfs_R_IMM,
-/*  0xb5 */ x86emuOp2_lgs_R_IMM,
-/*  0xb6 */ x86emuOp2_movzx_byte_R_RM,
-/*  0xb7 */ x86emuOp2_movzx_word_R_RM,
-/*  0xb8 */ x86emuOp2_illegal_op,
-/*  0xb9 */ x86emuOp2_illegal_op,
-/*  0xba */ x86emuOp2_btX_I,
-/*  0xbb */ x86emuOp2_btc_R,
-/*  0xbc */ x86emuOp2_bsf,
-/*  0xbd */ x86emuOp2_bsr,
-/*  0xbe */ x86emuOp2_movsx_byte_R_RM,
-/*  0xbf */ x86emuOp2_movsx_word_R_RM,
-
-/*  0xc0 */ x86emuOp2_illegal_op,  /* TODO: xadd */
-/*  0xc1 */ x86emuOp2_illegal_op,  /* TODO: xadd */
-/*  0xc2 */ x86emuOp2_illegal_op,
-/*  0xc3 */ x86emuOp2_illegal_op,
-/*  0xc4 */ x86emuOp2_illegal_op,
-/*  0xc5 */ x86emuOp2_illegal_op,
-/*  0xc6 */ x86emuOp2_illegal_op,
-/*  0xc7 */ x86emuOp2_illegal_op,
-/*  0xc8 */ x86emuOp2_bswap,
-/*  0xc9 */ x86emuOp2_bswap,
-/*  0xca */ x86emuOp2_bswap,
-/*  0xcb */ x86emuOp2_bswap,
-/*  0xcc */ x86emuOp2_bswap,
-/*  0xcd */ x86emuOp2_bswap,
-/*  0xce */ x86emuOp2_bswap,
-/*  0xcf */ x86emuOp2_bswap,
-
-/*  0xd0 */ x86emuOp2_illegal_op,
-/*  0xd1 */ x86emuOp2_illegal_op,
-/*  0xd2 */ x86emuOp2_illegal_op,
-/*  0xd3 */ x86emuOp2_illegal_op,
-/*  0xd4 */ x86emuOp2_illegal_op,
-/*  0xd5 */ x86emuOp2_illegal_op,
-/*  0xd6 */ x86emuOp2_illegal_op,
-/*  0xd7 */ x86emuOp2_illegal_op,
-/*  0xd8 */ x86emuOp2_illegal_op,
-/*  0xd9 */ x86emuOp2_illegal_op,
-/*  0xda */ x86emuOp2_illegal_op,
-/*  0xdb */ x86emuOp2_illegal_op,
-/*  0xdc */ x86emuOp2_illegal_op,
-/*  0xdd */ x86emuOp2_illegal_op,
-/*  0xde */ x86emuOp2_illegal_op,
-/*  0xdf */ x86emuOp2_illegal_op,
-
-/*  0xe0 */ x86emuOp2_illegal_op,
-/*  0xe1 */ x86emuOp2_illegal_op,
-/*  0xe2 */ x86emuOp2_illegal_op,
-/*  0xe3 */ x86emuOp2_illegal_op,
-/*  0xe4 */ x86emuOp2_illegal_op,
-/*  0xe5 */ x86emuOp2_illegal_op,
-/*  0xe6 */ x86emuOp2_illegal_op,
-/*  0xe7 */ x86emuOp2_illegal_op,
-/*  0xe8 */ x86emuOp2_illegal_op,
-/*  0xe9 */ x86emuOp2_illegal_op,
-/*  0xea */ x86emuOp2_illegal_op,
-/*  0xeb */ x86emuOp2_illegal_op,
-/*  0xec */ x86emuOp2_illegal_op,
-/*  0xed */ x86emuOp2_illegal_op,
-/*  0xee */ x86emuOp2_illegal_op,
-/*  0xef */ x86emuOp2_illegal_op,
-
-/*  0xf0 */ x86emuOp2_illegal_op,
-/*  0xf1 */ x86emuOp2_illegal_op,
-/*  0xf2 */ x86emuOp2_illegal_op,
-/*  0xf3 */ x86emuOp2_illegal_op,
-/*  0xf4 */ x86emuOp2_illegal_op,
-/*  0xf5 */ x86emuOp2_illegal_op,
-/*  0xf6 */ x86emuOp2_illegal_op,
-/*  0xf7 */ x86emuOp2_illegal_op,
-/*  0xf8 */ x86emuOp2_illegal_op,
-/*  0xf9 */ x86emuOp2_illegal_op,
-/*  0xfa */ x86emuOp2_illegal_op,
-/*  0xfb */ x86emuOp2_illegal_op,
-/*  0xfc */ x86emuOp2_illegal_op,
-/*  0xfd */ x86emuOp2_illegal_op,
-/*  0xfe */ x86emuOp2_illegal_op,
-/*  0xff */ x86emuOp2_illegal_op,
-};
diff --git a/util/x86emu/x86emu/prim_asm.h b/util/x86emu/x86emu/prim_asm.h
deleted file mode 100644 (file)
index 4fa8d55..0000000
+++ /dev/null
@@ -1,971 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            Watcom C++ 10.6 or later
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  Inline assembler versions of the primitive operand
-*                              functions for faster performance. At the moment this is
-*                              x86 inline assembler, but these functions could be replaced
-*                              with native inline assembler for each supported processor
-*                              platform.
-*
-****************************************************************************/
-/* $XFree86: xc/extras/x86emu/src/x86emu/x86emu/prim_asm.h,v 1.3 2000/04/19 15:48:15 tsi Exp $ */
-
-#ifndef        __X86EMU_PRIM_ASM_H
-#define        __X86EMU_PRIM_ASM_H
-
-#ifdef __WATCOMC__
-
-#ifndef        VALIDATE
-#define        __HAVE_INLINE_ASSEMBLER__
-#endif
-
-u32            get_flags_asm(void);
-#pragma aux get_flags_asm =                    \
-       "pushf"                         \
-       "pop    eax"                    \
-       value [eax]                     \
-       modify exact [eax];
-
-u16     aaa_word_asm(u32 *flags,u16 d);
-#pragma aux aaa_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "aaa"                                   \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax]                                 \
-       value [ax]                      \
-       modify exact [ax];
-
-u16     aas_word_asm(u32 *flags,u16 d);
-#pragma aux aas_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "aas"                                   \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax]                                 \
-       value [ax]                      \
-       modify exact [ax];
-
-u16     aad_word_asm(u32 *flags,u16 d);
-#pragma aux aad_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "aad"                                   \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax]                                 \
-       value [ax]                      \
-       modify exact [ax];
-
-u16     aam_word_asm(u32 *flags,u8 d);
-#pragma aux aam_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "aam"                                   \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al]                                 \
-       value [ax]                      \
-       modify exact [ax];
-
-u8      adc_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux adc_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "adc    al,bl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [bl]            \
-       value [al]                      \
-       modify exact [al bl];
-
-u16     adc_word_asm(u32 *flags,u16 d, u16 s);
-#pragma aux adc_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "adc    ax,bx"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [bx]            \
-       value [ax]                      \
-       modify exact [ax bx];
-
-u32     adc_long_asm(u32 *flags,u32 d, u32 s);
-#pragma aux adc_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "adc    eax,ebx"                \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [ebx]          \
-       value [eax]                     \
-       modify exact [eax ebx];
-
-u8      add_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux add_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "add    al,bl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [bl]            \
-       value [al]                      \
-       modify exact [al bl];
-
-u16     add_word_asm(u32 *flags,u16 d, u16 s);
-#pragma aux add_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "add    ax,bx"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [bx]            \
-       value [ax]                      \
-       modify exact [ax bx];
-
-u32     add_long_asm(u32 *flags,u32 d, u32 s);
-#pragma aux add_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "add    eax,ebx"                \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [ebx]          \
-       value [eax]                     \
-       modify exact [eax ebx];
-
-u8      and_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux and_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "and    al,bl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [bl]            \
-       value [al]                      \
-       modify exact [al bl];
-
-u16     and_word_asm(u32 *flags,u16 d, u16 s);
-#pragma aux and_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "and    ax,bx"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [bx]            \
-       value [ax]                      \
-       modify exact [ax bx];
-
-u32     and_long_asm(u32 *flags,u32 d, u32 s);
-#pragma aux and_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "and    eax,ebx"                \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [ebx]          \
-       value [eax]                     \
-       modify exact [eax ebx];
-
-u8      cmp_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux cmp_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "cmp    al,bl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [bl]            \
-       value [al]                      \
-       modify exact [al bl];
-
-u16     cmp_word_asm(u32 *flags,u16 d, u16 s);
-#pragma aux cmp_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "cmp    ax,bx"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [bx]            \
-       value [ax]                      \
-       modify exact [ax bx];
-
-u32     cmp_long_asm(u32 *flags,u32 d, u32 s);
-#pragma aux cmp_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "cmp    eax,ebx"                \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [ebx]          \
-       value [eax]                     \
-       modify exact [eax ebx];
-
-u8      daa_byte_asm(u32 *flags,u8 d);
-#pragma aux daa_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "daa"                                   \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al]                         \
-       value [al]                      \
-       modify exact [al];
-
-u8      das_byte_asm(u32 *flags,u8 d);
-#pragma aux das_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "das"                                   \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al]                         \
-       value [al]                      \
-       modify exact [al];
-
-u8      dec_byte_asm(u32 *flags,u8 d);
-#pragma aux dec_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "dec    al"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al]                         \
-       value [al]                      \
-       modify exact [al];
-
-u16     dec_word_asm(u32 *flags,u16 d);
-#pragma aux dec_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "dec    ax"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax]                         \
-       value [ax]                      \
-       modify exact [ax];
-
-u32     dec_long_asm(u32 *flags,u32 d);
-#pragma aux dec_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "dec    eax"                    \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax]                        \
-       value [eax]                     \
-       modify exact [eax];
-
-u8      inc_byte_asm(u32 *flags,u8 d);
-#pragma aux inc_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "inc    al"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al]                         \
-       value [al]                      \
-       modify exact [al];
-
-u16     inc_word_asm(u32 *flags,u16 d);
-#pragma aux inc_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "inc    ax"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax]                         \
-       value [ax]                      \
-       modify exact [ax];
-
-u32     inc_long_asm(u32 *flags,u32 d);
-#pragma aux inc_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "inc    eax"                    \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax]                        \
-       value [eax]                     \
-       modify exact [eax];
-
-u8      or_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux or_byte_asm =                      \
-       "push   [edi]"                          \
-       "popf"                          \
-       "or     al,bl"                          \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [bl]            \
-       value [al]                      \
-       modify exact [al bl];
-
-u16     or_word_asm(u32 *flags,u16 d, u16 s);
-#pragma aux or_word_asm =                      \
-       "push   [edi]"                          \
-       "popf"                          \
-       "or     ax,bx"                          \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [bx]            \
-       value [ax]                      \
-       modify exact [ax bx];
-
-u32     or_long_asm(u32 *flags,u32 d, u32 s);
-#pragma aux or_long_asm =                      \
-       "push   [edi]"                          \
-       "popf"                          \
-       "or     eax,ebx"                        \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [ebx]          \
-       value [eax]                     \
-       modify exact [eax ebx];
-
-u8      neg_byte_asm(u32 *flags,u8 d);
-#pragma aux neg_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "neg    al"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al]                         \
-       value [al]                      \
-       modify exact [al];
-
-u16     neg_word_asm(u32 *flags,u16 d);
-#pragma aux neg_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "neg    ax"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax]                         \
-       value [ax]                      \
-       modify exact [ax];
-
-u32     neg_long_asm(u32 *flags,u32 d);
-#pragma aux neg_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "neg    eax"                    \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax]                        \
-       value [eax]                     \
-       modify exact [eax];
-
-u8      not_byte_asm(u32 *flags,u8 d);
-#pragma aux not_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "not    al"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al]                         \
-       value [al]                      \
-       modify exact [al];
-
-u16     not_word_asm(u32 *flags,u16 d);
-#pragma aux not_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "not    ax"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax]                         \
-       value [ax]                      \
-       modify exact [ax];
-
-u32     not_long_asm(u32 *flags,u32 d);
-#pragma aux not_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "not    eax"                    \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax]                        \
-       value [eax]                     \
-       modify exact [eax];
-
-u8      rcl_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux rcl_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "rcl    al,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [cl]            \
-       value [al]                      \
-       modify exact [al cl];
-
-u16     rcl_word_asm(u32 *flags,u16 d, u8 s);
-#pragma aux rcl_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "rcl    ax,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [cl]            \
-       value [ax]                      \
-       modify exact [ax cl];
-
-u32     rcl_long_asm(u32 *flags,u32 d, u8 s);
-#pragma aux rcl_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "rcl    eax,cl"                 \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [cl]           \
-       value [eax]                     \
-       modify exact [eax cl];
-
-u8      rcr_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux rcr_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "rcr    al,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [cl]            \
-       value [al]                      \
-       modify exact [al cl];
-
-u16     rcr_word_asm(u32 *flags,u16 d, u8 s);
-#pragma aux rcr_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "rcr    ax,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [cl]            \
-       value [ax]                      \
-       modify exact [ax cl];
-
-u32     rcr_long_asm(u32 *flags,u32 d, u8 s);
-#pragma aux rcr_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "rcr    eax,cl"                 \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [cl]           \
-       value [eax]                     \
-       modify exact [eax cl];
-
-u8      rol_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux rol_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "rol    al,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [cl]            \
-       value [al]                      \
-       modify exact [al cl];
-
-u16     rol_word_asm(u32 *flags,u16 d, u8 s);
-#pragma aux rol_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "rol    ax,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [cl]            \
-       value [ax]                      \
-       modify exact [ax cl];
-
-u32     rol_long_asm(u32 *flags,u32 d, u8 s);
-#pragma aux rol_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "rol    eax,cl"                 \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [cl]           \
-       value [eax]                     \
-       modify exact [eax cl];
-
-u8      ror_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux ror_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "ror    al,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [cl]            \
-       value [al]                      \
-       modify exact [al cl];
-
-u16     ror_word_asm(u32 *flags,u16 d, u8 s);
-#pragma aux ror_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "ror    ax,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [cl]            \
-       value [ax]                      \
-       modify exact [ax cl];
-
-u32     ror_long_asm(u32 *flags,u32 d, u8 s);
-#pragma aux ror_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "ror    eax,cl"                 \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [cl]           \
-       value [eax]                     \
-       modify exact [eax cl];
-
-u8      shl_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux shl_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "shl    al,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [cl]            \
-       value [al]                      \
-       modify exact [al cl];
-
-u16     shl_word_asm(u32 *flags,u16 d, u8 s);
-#pragma aux shl_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "shl    ax,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [cl]            \
-       value [ax]                      \
-       modify exact [ax cl];
-
-u32     shl_long_asm(u32 *flags,u32 d, u8 s);
-#pragma aux shl_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "shl    eax,cl"                 \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [cl]           \
-       value [eax]                     \
-       modify exact [eax cl];
-
-u8      shr_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux shr_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "shr    al,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [cl]            \
-       value [al]                      \
-       modify exact [al cl];
-
-u16     shr_word_asm(u32 *flags,u16 d, u8 s);
-#pragma aux shr_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "shr    ax,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [cl]            \
-       value [ax]                      \
-       modify exact [ax cl];
-
-u32     shr_long_asm(u32 *flags,u32 d, u8 s);
-#pragma aux shr_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "shr    eax,cl"                 \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [cl]           \
-       value [eax]                     \
-       modify exact [eax cl];
-
-u8      sar_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux sar_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "sar    al,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [cl]            \
-       value [al]                      \
-       modify exact [al cl];
-
-u16     sar_word_asm(u32 *flags,u16 d, u8 s);
-#pragma aux sar_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "sar    ax,cl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [cl]            \
-       value [ax]                      \
-       modify exact [ax cl];
-
-u32     sar_long_asm(u32 *flags,u32 d, u8 s);
-#pragma aux sar_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "sar    eax,cl"                 \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [cl]           \
-       value [eax]                     \
-       modify exact [eax cl];
-
-u16            shld_word_asm(u32 *flags,u16 d, u16 fill, u8 s);
-#pragma aux shld_word_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "shld   ax,dx,cl"               \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [dx] [cl]       \
-       value [ax]                      \
-       modify exact [ax dx cl];
-
-u32     shld_long_asm(u32 *flags,u32 d, u32 fill, u8 s);
-#pragma aux shld_long_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "shld   eax,edx,cl"             \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [edx] [cl]     \
-       value [eax]                     \
-       modify exact [eax edx cl];
-
-u16            shrd_word_asm(u32 *flags,u16 d, u16 fill, u8 s);
-#pragma aux shrd_word_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "shrd   ax,dx,cl"               \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [dx] [cl]       \
-       value [ax]                      \
-       modify exact [ax dx cl];
-
-u32     shrd_long_asm(u32 *flags,u32 d, u32 fill, u8 s);
-#pragma aux shrd_long_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "shrd   eax,edx,cl"             \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [edx] [cl]     \
-       value [eax]                     \
-       modify exact [eax edx cl];
-
-u8      sbb_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux sbb_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "sbb    al,bl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [bl]            \
-       value [al]                      \
-       modify exact [al bl];
-
-u16     sbb_word_asm(u32 *flags,u16 d, u16 s);
-#pragma aux sbb_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "sbb    ax,bx"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [bx]            \
-       value [ax]                      \
-       modify exact [ax bx];
-
-u32     sbb_long_asm(u32 *flags,u32 d, u32 s);
-#pragma aux sbb_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "sbb    eax,ebx"                \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [ebx]          \
-       value [eax]                     \
-       modify exact [eax ebx];
-
-u8      sub_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux sub_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "sub    al,bl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [bl]            \
-       value [al]                      \
-       modify exact [al bl];
-
-u16     sub_word_asm(u32 *flags,u16 d, u16 s);
-#pragma aux sub_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "sub    ax,bx"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [bx]            \
-       value [ax]                      \
-       modify exact [ax bx];
-
-u32     sub_long_asm(u32 *flags,u32 d, u32 s);
-#pragma aux sub_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "sub    eax,ebx"                \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [ebx]          \
-       value [eax]                     \
-       modify exact [eax ebx];
-
-void   test_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux test_byte_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "test   al,bl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [bl]            \
-       modify exact [al bl];
-
-void   test_word_asm(u32 *flags,u16 d, u16 s);
-#pragma aux test_word_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "test   ax,bx"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [bx]            \
-       modify exact [ax bx];
-
-void   test_long_asm(u32 *flags,u32 d, u32 s);
-#pragma aux test_long_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "test   eax,ebx"                \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [ebx]          \
-       modify exact [eax ebx];
-
-u8      xor_byte_asm(u32 *flags,u8 d, u8 s);
-#pragma aux xor_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "xor    al,bl"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [al] [bl]            \
-       value [al]                      \
-       modify exact [al bl];
-
-u16     xor_word_asm(u32 *flags,u16 d, u16 s);
-#pragma aux xor_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "xor    ax,bx"                  \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [ax] [bx]            \
-       value [ax]                      \
-       modify exact [ax bx];
-
-u32     xor_long_asm(u32 *flags,u32 d, u32 s);
-#pragma aux xor_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "xor    eax,ebx"                \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       parm [edi] [eax] [ebx]          \
-       value [eax]                     \
-       modify exact [eax ebx];
-
-void    imul_byte_asm(u32 *flags,u16 *ax,u8 d,u8 s);
-#pragma aux imul_byte_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "imul   bl"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],ax"                               \
-       parm [edi] [esi] [al] [bl]      \
-       modify exact [esi ax bl];
-
-void    imul_word_asm(u32 *flags,u16 *ax,u16 *dx,u16 d,u16 s);
-#pragma aux imul_word_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "imul   bx"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],ax"                               \
-       "mov    [ecx],dx"                               \
-       parm [edi] [esi] [ecx] [ax] [bx]\
-       modify exact [esi edi ax bx dx];
-
-void    imul_long_asm(u32 *flags,u32 *eax,u32 *edx,u32 d,u32 s);
-#pragma aux imul_long_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "imul   ebx"                    \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],eax"                              \
-       "mov    [ecx],edx"                              \
-       parm [edi] [esi] [ecx] [eax] [ebx] \
-       modify exact [esi edi eax ebx edx];
-
-void    mul_byte_asm(u32 *flags,u16 *ax,u8 d,u8 s);
-#pragma aux mul_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "mul    bl"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],ax"                               \
-       parm [edi] [esi] [al] [bl]      \
-       modify exact [esi ax bl];
-
-void    mul_word_asm(u32 *flags,u16 *ax,u16 *dx,u16 d,u16 s);
-#pragma aux mul_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "mul    bx"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],ax"                               \
-       "mov    [ecx],dx"                               \
-       parm [edi] [esi] [ecx] [ax] [bx]\
-       modify exact [esi edi ax bx dx];
-
-void    mul_long_asm(u32 *flags,u32 *eax,u32 *edx,u32 d,u32 s);
-#pragma aux mul_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "mul    ebx"                    \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],eax"                              \
-       "mov    [ecx],edx"                              \
-       parm [edi] [esi] [ecx] [eax] [ebx] \
-       modify exact [esi edi eax ebx edx];
-
-void   idiv_byte_asm(u32 *flags,u8 *al,u8 *ah,u16 d,u8 s);
-#pragma aux idiv_byte_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "idiv   bl"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],al"                               \
-       "mov    [ecx],ah"                               \
-       parm [edi] [esi] [ecx] [ax] [bl]\
-       modify exact [esi edi ax bl];
-
-void   idiv_word_asm(u32 *flags,u16 *ax,u16 *dx,u16 dlo,u16 dhi,u16 s);
-#pragma aux idiv_word_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "idiv   bx"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],ax"                               \
-       "mov    [ecx],dx"                               \
-       parm [edi] [esi] [ecx] [ax] [dx] [bx]\
-       modify exact [esi edi ax dx bx];
-
-void   idiv_long_asm(u32 *flags,u32 *eax,u32 *edx,u32 dlo,u32 dhi,u32 s);
-#pragma aux idiv_long_asm =                    \
-       "push   [edi]"                          \
-       "popf"                          \
-       "idiv   ebx"                    \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],eax"                              \
-       "mov    [ecx],edx"                              \
-       parm [edi] [esi] [ecx] [eax] [edx] [ebx]\
-       modify exact [esi edi eax edx ebx];
-
-void   div_byte_asm(u32 *flags,u8 *al,u8 *ah,u16 d,u8 s);
-#pragma aux div_byte_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "div    bl"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],al"                               \
-       "mov    [ecx],ah"                               \
-       parm [edi] [esi] [ecx] [ax] [bl]\
-       modify exact [esi edi ax bl];
-
-void   div_word_asm(u32 *flags,u16 *ax,u16 *dx,u16 dlo,u16 dhi,u16 s);
-#pragma aux div_word_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "div    bx"                     \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],ax"                               \
-       "mov    [ecx],dx"                               \
-       parm [edi] [esi] [ecx] [ax] [dx] [bx]\
-       modify exact [esi edi ax dx bx];
-
-void   div_long_asm(u32 *flags,u32 *eax,u32 *edx,u32 dlo,u32 dhi,u32 s);
-#pragma aux div_long_asm =                     \
-       "push   [edi]"                          \
-       "popf"                          \
-       "div    ebx"                    \
-       "pushf"                         \
-       "pop    [edi]"                          \
-       "mov    [esi],eax"                              \
-       "mov    [ecx],edx"                              \
-       parm [edi] [esi] [ecx] [eax] [edx] [ebx]\
-       modify exact [esi edi eax edx ebx];
-
-#endif
-
-#endif /* __X86EMU_PRIM_ASM_H */
diff --git a/util/x86emu/x86emu/prim_ops.c b/util/x86emu/x86emu/prim_ops.c
deleted file mode 100644 (file)
index 20e7597..0000000
+++ /dev/null
@@ -1,2496 +0,0 @@
-/****************************************************************************
-*
-*                       Realmode X86 Emulator Library
-*
-*               Copyright (C) 1991-2004 SciTech Software, Inc.
-*                    Copyright (C) David Mosberger-Tang
-*                      Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:     ANSI C
-* Environment:  Any
-* Developer:    Kendall Bennett
-*
-* Description:  This file contains the code to implement the primitive
-*               machine operations used by the emulation code in ops.c
-*
-* Carry Chain Calculation
-*
-* This represents a somewhat expensive calculation which is
-* apparently required to emulate the setting of the OF and AF flag.
-* The latter is not so important, but the former is.  The overflow
-* flag is the XOR of the top two bits of the carry chain for an
-* addition (similar for subtraction).  Since we do not want to
-* simulate the addition in a bitwise manner, we try to calculate the
-* carry chain given the two operands and the result.
-*
-* So, given the following table, which represents the addition of two
-* bits, we can derive a formula for the carry chain.
-*
-* a   b   cin   r     cout
-* 0   0   0     0     0
-* 0   0   1     1     0
-* 0   1   0     1     0
-* 0   1   1     0     1
-* 1   0   0     1     0
-* 1   0   1     0     1
-* 1   1   0     0     1
-* 1   1   1     1     1
-*
-* Construction of table for cout:
-*
-* ab
-* r  \  00   01   11  10
-* |------------------
-* 0  |   0    1    1   1
-* 1  |   0    0    1   0
-*
-* By inspection, one gets:  cc = ab +  r'(a + b)
-*
-* That represents alot of operations, but NO CHOICE....
-*
-* Borrow Chain Calculation.
-*
-* The following table represents the subtraction of two bits, from
-* which we can derive a formula for the borrow chain.
-*
-* a   b   bin   r     bout
-* 0   0   0     0     0
-* 0   0   1     1     1
-* 0   1   0     1     1
-* 0   1   1     0     1
-* 1   0   0     1     0
-* 1   0   1     0     0
-* 1   1   0     0     0
-* 1   1   1     1     1
-*
-* Construction of table for cout:
-*
-* ab
-* r  \  00   01   11  10
-* |------------------
-* 0  |   0    1    0   0
-* 1  |   1    1    1   0
-*
-* By inspection, one gets:  bc = a'b +  r(a' + b)
-*
-****************************************************************************/
-
-#define PRIM_OPS_NO_REDEFINE_ASM
-#include "x86emui.h"
-
-#define abs(x) ({                               \
-                int __x = (x);                  \
-                (__x < 0) ? -__x : __x;         \
-        })
-
-#define labs(x) ({                              \
-                long __x = (x);                 \
-                (__x < 0) ? -__x : __x;         \
-        })
-
-/*------------------------- Global Variables ------------------------------*/
-
-static u32 x86emu_parity_tab[8] =
-{
-    0x96696996,
-    0x69969669,
-    0x69969669,
-    0x96696996,
-    0x69969669,
-    0x96696996,
-    0x96696996,
-    0x69969669,
-};
-
-#define PARITY(x)   (((x86emu_parity_tab[(x) / 32] >> ((x) % 32)) & 1) == 0)
-#define XOR2(x)     (((x) ^ ((x)>>1)) & 0x1)
-
-/*----------------------------- Implementation ----------------------------*/
-
-
-/*--------- Side effects helper functions -------*/
-
-/****************************************************************************
-REMARKS:
-implements side efects for byte operations that don't overflow
-****************************************************************************/
-
-static void set_parity_flag(u32 res)
-{
-    CONDITIONAL_SET_FLAG(PARITY(res & 0xFF), F_PF);
-}
-
-static void set_szp_flags_8(u8 res)
-{
-    CONDITIONAL_SET_FLAG(res & 0x80, F_SF);
-    CONDITIONAL_SET_FLAG(res == 0, F_ZF);
-    set_parity_flag(res);
-}
-
-static void set_szp_flags_16(u16 res)
-{
-    CONDITIONAL_SET_FLAG(res & 0x8000, F_SF);
-    CONDITIONAL_SET_FLAG(res == 0, F_ZF);
-    set_parity_flag(res);
-}
-
-static void set_szp_flags_32(u32 res)
-{
-    CONDITIONAL_SET_FLAG(res & 0x80000000, F_SF);
-    CONDITIONAL_SET_FLAG(res == 0, F_ZF);
-    set_parity_flag(res);
-}
-
-static void no_carry_byte_side_eff(u8 res)
-{
-    CLEAR_FLAG(F_OF);
-    CLEAR_FLAG(F_CF);
-    CLEAR_FLAG(F_AF);
-    set_szp_flags_8(res);
-}
-
-static void no_carry_word_side_eff(u16 res)
-{
-    CLEAR_FLAG(F_OF);
-    CLEAR_FLAG(F_CF);
-    CLEAR_FLAG(F_AF);
-    set_szp_flags_16(res);
-}
-
-static void no_carry_long_side_eff(u32 res)
-{
-    CLEAR_FLAG(F_OF);
-    CLEAR_FLAG(F_CF);
-    CLEAR_FLAG(F_AF);
-    set_szp_flags_32(res);
-}
-
-static void calc_carry_chain(int bits, u32 d, u32 s, u32 res, int set_carry)
-{
-    u32 cc;
-
-    cc = (s & d) | ((~res) & (s | d));
-    CONDITIONAL_SET_FLAG(XOR2(cc >> (bits - 2)), F_OF);
-    CONDITIONAL_SET_FLAG(cc & 0x8, F_AF);
-    if (set_carry) {
-        CONDITIONAL_SET_FLAG(res & (1 << bits), F_CF);
-    }
-}
-
-static void calc_borrow_chain(int bits, u32 d, u32 s, u32 res, int set_carry)
-{
-    u32 bc;
-
-    bc = (res & (~d | s)) | (~d & s);
-    CONDITIONAL_SET_FLAG(XOR2(bc >> (bits - 2)), F_OF);
-    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
-    if (set_carry) {
-        CONDITIONAL_SET_FLAG(bc & (1 << (bits - 1)), F_CF);
-    }
-}
-
-/****************************************************************************
-REMARKS:
-Implements the AAA instruction and side effects.
-****************************************************************************/
-u16 aaa_word(u16 d)
-{
-    u16 res;
-    if ((d & 0xf) > 0x9 || ACCESS_FLAG(F_AF)) {
-        d += 0x6;
-        d += 0x100;
-        SET_FLAG(F_AF);
-        SET_FLAG(F_CF);
-    } else {
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_AF);
-    }
-    res = (u16)(d & 0xFF0F);
-    set_szp_flags_16(res);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the AAA instruction and side effects.
-****************************************************************************/
-u16 aas_word(u16 d)
-{
-    u16 res;
-    if ((d & 0xf) > 0x9 || ACCESS_FLAG(F_AF)) {
-        d -= 0x6;
-        d -= 0x100;
-        SET_FLAG(F_AF);
-        SET_FLAG(F_CF);
-    } else {
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_AF);
-    }
-    res = (u16)(d & 0xFF0F);
-    set_szp_flags_16(res);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the AAD instruction and side effects.
-****************************************************************************/
-u16 aad_word(u16 d)
-{
-    u16 l;
-    u8 hb, lb;
-
-    hb = (u8)((d >> 8) & 0xff);
-    lb = (u8)((d & 0xff));
-    l = (u16)((lb + 10 * hb) & 0xFF);
-
-    no_carry_byte_side_eff(l & 0xFF);
-    return l;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the AAM instruction and side effects.
-****************************************************************************/
-u16 aam_word(u8 d)
-{
-    u16 h, l;
-
-    h = (u16)(d / 10);
-    l = (u16)(d % 10);
-    l |= (u16)(h << 8);
-
-    no_carry_byte_side_eff(l & 0xFF);
-    return l;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ADC instruction and side effects.
-****************************************************************************/
-u8 adc_byte(u8 d, u8 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d + s;
-    if (ACCESS_FLAG(F_CF)) res++;
-
-    set_szp_flags_8(res);
-    calc_carry_chain(8,s,d,res,1);
-
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ADC instruction and side effects.
-****************************************************************************/
-u16 adc_word(u16 d, u16 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d + s;
-    if (ACCESS_FLAG(F_CF))
-        res++;
-
-    set_szp_flags_16((u16)res);
-    calc_carry_chain(16,s,d,res,1);
-
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ADC instruction and side effects.
-****************************************************************************/
-u32 adc_long(u32 d, u32 s)
-{
-    u32 lo;    /* all operands in native machine order */
-    u32 hi;
-    u32 res;
-
-    lo = (d & 0xFFFF) + (s & 0xFFFF);
-    res = d + s;
-
-    if (ACCESS_FLAG(F_CF)) {
-        lo++;
-        res++;
-    }
-
-    hi = (lo >> 16) + (d >> 16) + (s >> 16);
-
-    set_szp_flags_32(res);
-    calc_carry_chain(32,s,d,res,0);
-
-    CONDITIONAL_SET_FLAG(hi & 0x10000, F_CF);
-
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ADD instruction and side effects.
-****************************************************************************/
-u8 add_byte(u8 d, u8 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d + s;
-    set_szp_flags_8((u8)res);
-    calc_carry_chain(8,s,d,res,1);
-
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ADD instruction and side effects.
-****************************************************************************/
-u16 add_word(u16 d, u16 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d + s;
-    set_szp_flags_16((u16)res);
-    calc_carry_chain(16,s,d,res,1);
-
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ADD instruction and side effects.
-****************************************************************************/
-u32 add_long(u32 d, u32 s)
-{
-    u32 res;
-
-    res = d + s;
-    set_szp_flags_32(res);
-    calc_carry_chain(32,s,d,res,0);
-
-    CONDITIONAL_SET_FLAG(res < d || res < s, F_CF);
-
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the AND instruction and side effects.
-****************************************************************************/
-u8 and_byte(u8 d, u8 s)
-{
-    u8 res;    /* all operands in native machine order */
-
-    res = d & s;
-
-    no_carry_byte_side_eff(res);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the AND instruction and side effects.
-****************************************************************************/
-u16 and_word(u16 d, u16 s)
-{
-    u16 res;   /* all operands in native machine order */
-
-    res = d & s;
-
-    no_carry_word_side_eff(res);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the AND instruction and side effects.
-****************************************************************************/
-u32 and_long(u32 d, u32 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d & s;
-    no_carry_long_side_eff(res);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the CMP instruction and side effects.
-****************************************************************************/
-u8 cmp_byte(u8 d, u8 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d - s;
-    set_szp_flags_8((u8)res);
-    calc_borrow_chain(8, d, s, res, 1);
-
-    return d;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the CMP instruction and side effects.
-****************************************************************************/
-u16 cmp_word(u16 d, u16 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d - s;
-    set_szp_flags_16((u16)res);
-    calc_borrow_chain(16, d, s, res, 1);
-
-    return d;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the CMP instruction and side effects.
-****************************************************************************/
-u32 cmp_long(u32 d, u32 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d - s;
-    set_szp_flags_32(res);
-    calc_borrow_chain(32, d, s, res, 1);
-
-    return d;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the DAA instruction and side effects.
-****************************************************************************/
-u8 daa_byte(u8 d)
-{
-    u32 res = d;
-    if ((d & 0xf) > 9 || ACCESS_FLAG(F_AF)) {
-        res += 6;
-        SET_FLAG(F_AF);
-    }
-    if (res > 0x9F || ACCESS_FLAG(F_CF)) {
-        res += 0x60;
-        SET_FLAG(F_CF);
-    }
-    set_szp_flags_8((u8)res);
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the DAS instruction and side effects.
-****************************************************************************/
-u8 das_byte(u8 d)
-{
-    if ((d & 0xf) > 9 || ACCESS_FLAG(F_AF)) {
-        d -= 6;
-        SET_FLAG(F_AF);
-    }
-    if (d > 0x9F || ACCESS_FLAG(F_CF)) {
-        d -= 0x60;
-        SET_FLAG(F_CF);
-    }
-    set_szp_flags_8(d);
-    return d;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the DEC instruction and side effects.
-****************************************************************************/
-u8 dec_byte(u8 d)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d - 1;
-    set_szp_flags_8((u8)res);
-    calc_borrow_chain(8, d, 1, res, 0);
-
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the DEC instruction and side effects.
-****************************************************************************/
-u16 dec_word(u16 d)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d - 1;
-    set_szp_flags_16((u16)res);
-    calc_borrow_chain(16, d, 1, res, 0);
-
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the DEC instruction and side effects.
-****************************************************************************/
-u32 dec_long(u32 d)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d - 1;
-
-    set_szp_flags_32(res);
-    calc_borrow_chain(32, d, 1, res, 0);
-
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the INC instruction and side effects.
-****************************************************************************/
-u8 inc_byte(u8 d)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d + 1;
-    set_szp_flags_8((u8)res);
-    calc_carry_chain(8, d, 1, res, 0);
-
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the INC instruction and side effects.
-****************************************************************************/
-u16 inc_word(u16 d)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d + 1;
-    set_szp_flags_16((u16)res);
-    calc_carry_chain(16, d, 1, res, 0);
-
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the INC instruction and side effects.
-****************************************************************************/
-u32 inc_long(u32 d)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d + 1;
-    set_szp_flags_32(res);
-    calc_carry_chain(32, d, 1, res, 0);
-
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the OR instruction and side effects.
-****************************************************************************/
-u8 or_byte(u8 d, u8 s)
-{
-    u8 res;    /* all operands in native machine order */
-
-    res = d | s;
-    no_carry_byte_side_eff(res);
-
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the OR instruction and side effects.
-****************************************************************************/
-u16 or_word(u16 d, u16 s)
-{
-    u16 res;   /* all operands in native machine order */
-
-    res = d | s;
-    no_carry_word_side_eff(res);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the OR instruction and side effects.
-****************************************************************************/
-u32 or_long(u32 d, u32 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d | s;
-    no_carry_long_side_eff(res);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the OR instruction and side effects.
-****************************************************************************/
-u8 neg_byte(u8 s)
-{
-    u8 res;
-
-    CONDITIONAL_SET_FLAG(s != 0, F_CF);
-    res = (u8)-s;
-    set_szp_flags_8(res);
-    calc_borrow_chain(8, 0, s, res, 0);
-
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the OR instruction and side effects.
-****************************************************************************/
-u16 neg_word(u16 s)
-{
-    u16 res;
-
-    CONDITIONAL_SET_FLAG(s != 0, F_CF);
-    res = (u16)-s;
-    set_szp_flags_16((u16)res);
-    calc_borrow_chain(16, 0, s, res, 0);
-
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the OR instruction and side effects.
-****************************************************************************/
-u32 neg_long(u32 s)
-{
-    u32 res;
-
-    CONDITIONAL_SET_FLAG(s != 0, F_CF);
-    res = (u32)-s;
-    set_szp_flags_32(res);
-    calc_borrow_chain(32, 0, s, res, 0);
-
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the NOT instruction and side effects.
-****************************************************************************/
-u8 not_byte(u8 s)
-{
-    return ~s;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the NOT instruction and side effects.
-****************************************************************************/
-u16 not_word(u16 s)
-{
-    return ~s;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the NOT instruction and side effects.
-****************************************************************************/
-u32 not_long(u32 s)
-{
-    return ~s;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the RCL instruction and side effects.
-****************************************************************************/
-u8 rcl_byte(u8 d, u8 s)
-{
-    unsigned int res, cnt, mask, cf;
-
-    /* s is the rotate distance.  It varies from 0 - 8. */
-    /* have
-
-       CF  B_7 B_6 B_5 B_4 B_3 B_2 B_1 B_0
-
-       want to rotate through the carry by "s" bits.  We could
-       loop, but that's inefficient.  So the width is 9,
-       and we split into three parts:
-
-       The new carry flag   (was B_n)
-       the stuff in B_n-1 .. B_0
-       the stuff in B_7 .. B_n+1
-
-       The new rotate is done mod 9, and given this,
-       for a rotation of n bits (mod 9) the new carry flag is
-       then located n bits from the MSB.  The low part is
-       then shifted up cnt bits, and the high part is or'd
-       in.  Using CAPS for new values, and lowercase for the
-       original values, this can be expressed as:
-
-       IF n > 0
-       1) CF <-  b_(8-n)
-       2) B_(7) .. B_(n)  <-  b_(8-(n+1)) .. b_0
-       3) B_(n-1) <- cf
-       4) B_(n-2) .. B_0 <-  b_7 .. b_(8-(n-1))
-     */
-    res = d;
-    if ((cnt = s % 9) != 0) {
-        /* extract the new CARRY FLAG. */
-        /* CF <-  b_(8-n)             */
-        cf = (d >> (8 - cnt)) & 0x1;
-
-        /* get the low stuff which rotated
-           into the range B_7 .. B_cnt */
-        /* B_(7) .. B_(n)  <-  b_(8-(n+1)) .. b_0  */
-        /* note that the right hand side done by the mask */
-        res = (d << cnt) & 0xff;
-
-        /* now the high stuff which rotated around
-           into the positions B_cnt-2 .. B_0 */
-        /* B_(n-2) .. B_0 <-  b_7 .. b_(8-(n-1)) */
-        /* shift it downward, 7-(n-2) = 9-n positions.
-           and mask off the result before or'ing in.
-         */
-        mask = (1 << (cnt - 1)) - 1;
-        res |= (d >> (9 - cnt)) & mask;
-
-        /* if the carry flag was set, or it in.  */
-        if (ACCESS_FLAG(F_CF)) {     /* carry flag is set */
-            /*  B_(n-1) <- cf */
-            res |= 1 << (cnt - 1);
-        }
-        /* set the new carry flag, based on the variable "cf" */
-        CONDITIONAL_SET_FLAG(cf, F_CF);
-        /* OVERFLOW is set *IFF* cnt==1, then it is the
-           xor of CF and the most significant bit.  Blecck. */
-        /* parenthesized this expression since it appears to
-           be causing OF to be misset */
-        CONDITIONAL_SET_FLAG(cnt == 1 && XOR2(cf + ((res >> 6) & 0x2)),
-                             F_OF);
-
-    }
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the RCL instruction and side effects.
-****************************************************************************/
-u16 rcl_word(u16 d, u8 s)
-{
-    unsigned int res, cnt, mask, cf;
-
-    res = d;
-    if ((cnt = s % 17) != 0) {
-        cf = (d >> (16 - cnt)) & 0x1;
-        res = (d << cnt) & 0xffff;
-        mask = (1 << (cnt - 1)) - 1;
-        res |= (d >> (17 - cnt)) & mask;
-        if (ACCESS_FLAG(F_CF)) {
-            res |= 1 << (cnt - 1);
-        }
-        CONDITIONAL_SET_FLAG(cf, F_CF);
-        CONDITIONAL_SET_FLAG(cnt == 1 && XOR2(cf + ((res >> 14) & 0x2)),
-                             F_OF);
-    }
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the RCL instruction and side effects.
-****************************************************************************/
-u32 rcl_long(u32 d, u8 s)
-{
-    u32 res, cnt, mask, cf;
-
-    res = d;
-    if ((cnt = s % 33) != 0) {
-        cf = (d >> (32 - cnt)) & 0x1;
-        res = (d << cnt) & 0xffffffff;
-        mask = (1 << (cnt - 1)) - 1;
-        res |= (d >> (33 - cnt)) & mask;
-        if (ACCESS_FLAG(F_CF)) {     /* carry flag is set */
-            res |= 1 << (cnt - 1);
-        }
-        CONDITIONAL_SET_FLAG(cf, F_CF);
-        CONDITIONAL_SET_FLAG(cnt == 1 && XOR2(cf + ((res >> 30) & 0x2)),
-                             F_OF);
-    }
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the RCR instruction and side effects.
-****************************************************************************/
-u8 rcr_byte(u8 d, u8 s)
-{
-    u32 res, cnt;
-    u32 mask, cf, ocf = 0;
-
-    /* rotate right through carry */
-    /*
-       s is the rotate distance.  It varies from 0 - 8.
-       d is the byte object rotated.
-
-       have
-
-       CF  B_7 B_6 B_5 B_4 B_3 B_2 B_1 B_0
-
-       The new rotate is done mod 9, and given this,
-       for a rotation of n bits (mod 9) the new carry flag is
-       then located n bits from the LSB.  The low part is
-       then shifted up cnt bits, and the high part is or'd
-       in.  Using CAPS for new values, and lowercase for the
-       original values, this can be expressed as:
-
-       IF n > 0
-       1) CF <-  b_(n-1)
-       2) B_(8-(n+1)) .. B_(0)  <-  b_(7) .. b_(n)
-       3) B_(8-n) <- cf
-       4) B_(7) .. B_(8-(n-1)) <-  b_(n-2) .. b_(0)
-     */
-    res = d;
-    if ((cnt = s % 9) != 0) {
-        /* extract the new CARRY FLAG. */
-        /* CF <-  b_(n-1)              */
-        if (cnt == 1) {
-            cf = d & 0x1;
-            /* note hackery here.  Access_flag(..) evaluates to either
-               0 if flag not set
-               non-zero if flag is set.
-               doing access_flag(..) != 0 casts that into either
-               0..1 in any representation of the flags register
-               (i.e. packed bit array or unpacked.)
-             */
-            ocf = ACCESS_FLAG(F_CF) != 0;
-        } else
-            cf = (d >> (cnt - 1)) & 0x1;
-
-        /* B_(8-(n+1)) .. B_(0)  <-  b_(7) .. b_n  */
-        /* note that the right hand side done by the mask
-           This is effectively done by shifting the
-           object to the right.  The result must be masked,
-           in case the object came in and was treated
-           as a negative number.  Needed??? */
-
-        mask = (1 << (8 - cnt)) - 1;
-        res = (d >> cnt) & mask;
-
-        /* now the high stuff which rotated around
-           into the positions B_cnt-2 .. B_0 */
-        /* B_(7) .. B_(8-(n-1)) <-  b_(n-2) .. b_(0) */
-        /* shift it downward, 7-(n-2) = 9-n positions.
-           and mask off the result before or'ing in.
-         */
-        res |= (d << (9 - cnt));
-
-        /* if the carry flag was set, or it in.  */
-        if (ACCESS_FLAG(F_CF)) {     /* carry flag is set */
-            /*  B_(8-n) <- cf */
-            res |= 1 << (8 - cnt);
-        }
-        /* set the new carry flag, based on the variable "cf" */
-        CONDITIONAL_SET_FLAG(cf, F_CF);
-        /* OVERFLOW is set *IFF* cnt==1, then it is the
-           xor of CF and the most significant bit.  Blecck. */
-        /* parenthesized... */
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG(XOR2(ocf + ((d >> 6) & 0x2)),
-                                 F_OF);
-        }
-    }
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the RCR instruction and side effects.
-****************************************************************************/
-u16 rcr_word(u16 d, u8 s)
-{
-    u32 res, cnt;
-    u32 mask, cf, ocf = 0;
-
-    /* rotate right through carry */
-    res = d;
-    if ((cnt = s % 17) != 0) {
-        if (cnt == 1) {
-            cf = d & 0x1;
-            ocf = ACCESS_FLAG(F_CF) != 0;
-        } else
-            cf = (d >> (cnt - 1)) & 0x1;
-        mask = (1 << (16 - cnt)) - 1;
-        res = (d >> cnt) & mask;
-        res |= (d << (17 - cnt));
-        if (ACCESS_FLAG(F_CF)) {
-            res |= 1 << (16 - cnt);
-        }
-        CONDITIONAL_SET_FLAG(cf, F_CF);
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG(XOR2(ocf + ((d >> 14) & 0x2)),
-                                 F_OF);
-        }
-    }
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the RCR instruction and side effects.
-****************************************************************************/
-u32 rcr_long(u32 d, u8 s)
-{
-    u32 res, cnt;
-    u32 mask, cf, ocf = 0;
-
-    /* rotate right through carry */
-    res = d;
-    if ((cnt = s % 33) != 0) {
-        if (cnt == 1) {
-            cf = d & 0x1;
-            ocf = ACCESS_FLAG(F_CF) != 0;
-        } else
-            cf = (d >> (cnt - 1)) & 0x1;
-        mask = (1 << (32 - cnt)) - 1;
-        res = (d >> cnt) & mask;
-        if (cnt != 1)
-            res |= (d << (33 - cnt));
-        if (ACCESS_FLAG(F_CF)) {     /* carry flag is set */
-            res |= 1 << (32 - cnt);
-        }
-        CONDITIONAL_SET_FLAG(cf, F_CF);
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG(XOR2(ocf + ((d >> 30) & 0x2)),
-                                 F_OF);
-        }
-    }
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ROL instruction and side effects.
-****************************************************************************/
-u8 rol_byte(u8 d, u8 s)
-{
-    unsigned int res, cnt, mask;
-
-    /* rotate left */
-    /*
-       s is the rotate distance.  It varies from 0 - 8.
-       d is the byte object rotated.
-
-       have
-
-       CF  B_7 ... B_0
-
-       The new rotate is done mod 8.
-       Much simpler than the "rcl" or "rcr" operations.
-
-       IF n > 0
-       1) B_(7) .. B_(n)  <-  b_(8-(n+1)) .. b_(0)
-       2) B_(n-1) .. B_(0) <-  b_(7) .. b_(8-n)
-     */
-    res = d;
-    if ((cnt = s % 8) != 0) {
-        /* B_(7) .. B_(n)  <-  b_(8-(n+1)) .. b_(0) */
-        res = (d << cnt);
-
-        /* B_(n-1) .. B_(0) <-  b_(7) .. b_(8-n) */
-        mask = (1 << cnt) - 1;
-        res |= (d >> (8 - cnt)) & mask;
-
-        /* set the new carry flag, Note that it is the low order
-           bit of the result!!!                               */
-        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
-        /* OVERFLOW is set *IFF* s==1, then it is the
-           xor of CF and the most significant bit.  Blecck. */
-        CONDITIONAL_SET_FLAG(s == 1 &&
-                             XOR2((res & 0x1) + ((res >> 6) & 0x2)),
-                             F_OF);
-    } if (s != 0) {
-        /* set the new carry flag, Note that it is the low order
-           bit of the result!!!                               */
-        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
-    }
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ROL instruction and side effects.
-****************************************************************************/
-u16 rol_word(u16 d, u8 s)
-{
-    unsigned int res, cnt, mask;
-
-    res = d;
-    if ((cnt = s % 16) != 0) {
-        res = (d << cnt);
-        mask = (1 << cnt) - 1;
-        res |= (d >> (16 - cnt)) & mask;
-        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
-        CONDITIONAL_SET_FLAG(s == 1 &&
-                             XOR2((res & 0x1) + ((res >> 14) & 0x2)),
-                             F_OF);
-    } if (s != 0) {
-        /* set the new carry flag, Note that it is the low order
-           bit of the result!!!                               */
-        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
-    }
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ROL instruction and side effects.
-****************************************************************************/
-u32 rol_long(u32 d, u8 s)
-{
-    u32 res, cnt, mask;
-
-    res = d;
-    if ((cnt = s % 32) != 0) {
-        res = (d << cnt);
-        mask = (1 << cnt) - 1;
-        res |= (d >> (32 - cnt)) & mask;
-        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
-        CONDITIONAL_SET_FLAG(s == 1 &&
-                             XOR2((res & 0x1) + ((res >> 30) & 0x2)),
-                             F_OF);
-    } if (s != 0) {
-        /* set the new carry flag, Note that it is the low order
-           bit of the result!!!                               */
-        CONDITIONAL_SET_FLAG(res & 0x1, F_CF);
-    }
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ROR instruction and side effects.
-****************************************************************************/
-u8 ror_byte(u8 d, u8 s)
-{
-    unsigned int res, cnt, mask;
-
-    /* rotate right */
-    /*
-       s is the rotate distance.  It varies from 0 - 8.
-       d is the byte object rotated.
-
-       have
-
-       B_7 ... B_0
-
-       The rotate is done mod 8.
-
-       IF n > 0
-       1) B_(8-(n+1)) .. B_(0)  <-  b_(7) .. b_(n)
-       2) B_(7) .. B_(8-n) <-  b_(n-1) .. b_(0)
-     */
-    res = d;
-    if ((cnt = s % 8) != 0) {           /* not a typo, do nada if cnt==0 */
-        /* B_(7) .. B_(8-n) <-  b_(n-1) .. b_(0) */
-        res = (d << (8 - cnt));
-
-        /* B_(8-(n+1)) .. B_(0)  <-  b_(7) .. b_(n) */
-        mask = (1 << (8 - cnt)) - 1;
-        res |= (d >> (cnt)) & mask;
-
-        /* set the new carry flag, Note that it is the low order
-           bit of the result!!!                               */
-        CONDITIONAL_SET_FLAG(res & 0x80, F_CF);
-        /* OVERFLOW is set *IFF* s==1, then it is the
-           xor of the two most significant bits.  Blecck. */
-        CONDITIONAL_SET_FLAG(s == 1 && XOR2(res >> 6), F_OF);
-    } else if (s != 0) {
-        /* set the new carry flag, Note that it is the low order
-           bit of the result!!!                               */
-        CONDITIONAL_SET_FLAG(res & 0x80, F_CF);
-    }
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ROR instruction and side effects.
-****************************************************************************/
-u16 ror_word(u16 d, u8 s)
-{
-    unsigned int res, cnt, mask;
-
-    res = d;
-    if ((cnt = s % 16) != 0) {
-        res = (d << (16 - cnt));
-        mask = (1 << (16 - cnt)) - 1;
-        res |= (d >> (cnt)) & mask;
-        CONDITIONAL_SET_FLAG(res & 0x8000, F_CF);
-        CONDITIONAL_SET_FLAG(s == 1 && XOR2(res >> 14), F_OF);
-    } else if (s != 0) {
-        /* set the new carry flag, Note that it is the low order
-           bit of the result!!!                               */
-        CONDITIONAL_SET_FLAG(res & 0x8000, F_CF);
-    }
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the ROR instruction and side effects.
-****************************************************************************/
-u32 ror_long(u32 d, u8 s)
-{
-    u32 res, cnt, mask;
-
-    res = d;
-    if ((cnt = s % 32) != 0) {
-        res = (d << (32 - cnt));
-        mask = (1 << (32 - cnt)) - 1;
-        res |= (d >> (cnt)) & mask;
-        CONDITIONAL_SET_FLAG(res & 0x80000000, F_CF);
-        CONDITIONAL_SET_FLAG(s == 1 && XOR2(res >> 30), F_OF);
-    } else if (s != 0) {
-        /* set the new carry flag, Note that it is the low order
-           bit of the result!!!                               */
-        CONDITIONAL_SET_FLAG(res & 0x80000000, F_CF);
-    }
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SHL instruction and side effects.
-****************************************************************************/
-u8 shl_byte(u8 d, u8 s)
-{
-    unsigned int cnt, res, cf;
-
-    if (s < 8) {
-        cnt = s % 8;
-
-        /* last bit shifted out goes into carry flag */
-        if (cnt > 0) {
-            res = d << cnt;
-            cf = d & (1 << (8 - cnt));
-            CONDITIONAL_SET_FLAG(cf, F_CF);
-            set_szp_flags_8((u8)res);
-        } else {
-            res = (u8) d;
-        }
-
-        if (cnt == 1) {
-            /* Needs simplification. */
-            CONDITIONAL_SET_FLAG(
-                                    (((res & 0x80) == 0x80) ^
-                                     (ACCESS_FLAG(F_CF) != 0)),
-            /* was (M.x86.R_FLG&F_CF)==F_CF)), */
-                                    F_OF);
-        } else {
-            CLEAR_FLAG(F_OF);
-        }
-    } else {
-        res = 0;
-        CONDITIONAL_SET_FLAG((d << (s-1)) & 0x80, F_CF);
-        CLEAR_FLAG(F_OF);
-        CLEAR_FLAG(F_SF);
-        SET_FLAG(F_PF);
-        SET_FLAG(F_ZF);
-    }
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SHL instruction and side effects.
-****************************************************************************/
-u16 shl_word(u16 d, u8 s)
-{
-    unsigned int cnt, res, cf;
-
-    if (s < 16) {
-        cnt = s % 16;
-        if (cnt > 0) {
-            res = d << cnt;
-            cf = d & (1 << (16 - cnt));
-            CONDITIONAL_SET_FLAG(cf, F_CF);
-            set_szp_flags_16((u16)res);
-        } else {
-            res = (u16) d;
-        }
-
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG(
-                                    (((res & 0x8000) == 0x8000) ^
-                                     (ACCESS_FLAG(F_CF) != 0)),
-                                    F_OF);
-        } else {
-            CLEAR_FLAG(F_OF);
-        }
-    } else {
-        res = 0;
-        CONDITIONAL_SET_FLAG((d << (s-1)) & 0x8000, F_CF);
-        CLEAR_FLAG(F_OF);
-        CLEAR_FLAG(F_SF);
-        SET_FLAG(F_PF);
-        SET_FLAG(F_ZF);
-    }
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SHL instruction and side effects.
-****************************************************************************/
-u32 shl_long(u32 d, u8 s)
-{
-    unsigned int cnt, res, cf;
-
-    if (s < 32) {
-        cnt = s % 32;
-        if (cnt > 0) {
-            res = d << cnt;
-            cf = d & (1 << (32 - cnt));
-            CONDITIONAL_SET_FLAG(cf, F_CF);
-            set_szp_flags_32((u32)res);
-        } else {
-            res = d;
-        }
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG((((res & 0x80000000) == 0x80000000) ^
-                                  (ACCESS_FLAG(F_CF) != 0)), F_OF);
-        } else {
-            CLEAR_FLAG(F_OF);
-        }
-    } else {
-        res = 0;
-        CONDITIONAL_SET_FLAG((d << (s-1)) & 0x80000000, F_CF);
-        CLEAR_FLAG(F_OF);
-        CLEAR_FLAG(F_SF);
-        SET_FLAG(F_PF);
-        SET_FLAG(F_ZF);
-    }
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SHR instruction and side effects.
-****************************************************************************/
-u8 shr_byte(u8 d, u8 s)
-{
-    unsigned int cnt, res, cf;
-
-    if (s < 8) {
-        cnt = s % 8;
-        if (cnt > 0) {
-            cf = d & (1 << (cnt - 1));
-            res = d >> cnt;
-            CONDITIONAL_SET_FLAG(cf, F_CF);
-            set_szp_flags_8((u8)res);
-        } else {
-            res = (u8) d;
-        }
-
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG(XOR2(res >> 6), F_OF);
-        } else {
-            CLEAR_FLAG(F_OF);
-        }
-    } else {
-        res = 0;
-        CONDITIONAL_SET_FLAG((d >> (s-1)) & 0x1, F_CF);
-        CLEAR_FLAG(F_OF);
-        CLEAR_FLAG(F_SF);
-        SET_FLAG(F_PF);
-        SET_FLAG(F_ZF);
-    }
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SHR instruction and side effects.
-****************************************************************************/
-u16 shr_word(u16 d, u8 s)
-{
-    unsigned int cnt, res, cf;
-
-    if (s < 16) {
-        cnt = s % 16;
-        if (cnt > 0) {
-            cf = d & (1 << (cnt - 1));
-            res = d >> cnt;
-            CONDITIONAL_SET_FLAG(cf, F_CF);
-            set_szp_flags_16((u16)res);
-        } else {
-            res = d;
-        }
-
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG(XOR2(res >> 14), F_OF);
-        } else {
-            CLEAR_FLAG(F_OF);
-        }
-    } else {
-        res = 0;
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_OF);
-        SET_FLAG(F_ZF);
-        CLEAR_FLAG(F_SF);
-        CLEAR_FLAG(F_PF);
-    }
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SHR instruction and side effects.
-****************************************************************************/
-u32 shr_long(u32 d, u8 s)
-{
-    unsigned int cnt, res, cf;
-
-    if (s < 32) {
-        cnt = s % 32;
-        if (cnt > 0) {
-            cf = d & (1 << (cnt - 1));
-            res = d >> cnt;
-            CONDITIONAL_SET_FLAG(cf, F_CF);
-            set_szp_flags_32((u32)res);
-        } else {
-            res = d;
-        }
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG(XOR2(res >> 30), F_OF);
-        } else {
-            CLEAR_FLAG(F_OF);
-        }
-    } else {
-        res = 0;
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_OF);
-        SET_FLAG(F_ZF);
-        CLEAR_FLAG(F_SF);
-        CLEAR_FLAG(F_PF);
-    }
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SAR instruction and side effects.
-****************************************************************************/
-u8 sar_byte(u8 d, u8 s)
-{
-    unsigned int cnt, res, cf, mask, sf;
-
-    res = d;
-    sf = d & 0x80;
-    cnt = s % 8;
-    if (cnt > 0 && cnt < 8) {
-        mask = (1 << (8 - cnt)) - 1;
-        cf = d & (1 << (cnt - 1));
-        res = (d >> cnt) & mask;
-        CONDITIONAL_SET_FLAG(cf, F_CF);
-        if (sf) {
-            res |= ~mask;
-        }
-        set_szp_flags_8((u8)res);
-    } else if (cnt >= 8) {
-        if (sf) {
-            res = 0xff;
-            SET_FLAG(F_CF);
-            CLEAR_FLAG(F_ZF);
-            SET_FLAG(F_SF);
-            SET_FLAG(F_PF);
-        } else {
-            res = 0;
-            CLEAR_FLAG(F_CF);
-            SET_FLAG(F_ZF);
-            CLEAR_FLAG(F_SF);
-            CLEAR_FLAG(F_PF);
-        }
-    }
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SAR instruction and side effects.
-****************************************************************************/
-u16 sar_word(u16 d, u8 s)
-{
-    unsigned int cnt, res, cf, mask, sf;
-
-    sf = d & 0x8000;
-    cnt = s % 16;
-    res = d;
-    if (cnt > 0 && cnt < 16) {
-        mask = (1 << (16 - cnt)) - 1;
-        cf = d & (1 << (cnt - 1));
-        res = (d >> cnt) & mask;
-        CONDITIONAL_SET_FLAG(cf, F_CF);
-        if (sf) {
-            res |= ~mask;
-        }
-        set_szp_flags_16((u16)res);
-    } else if (cnt >= 16) {
-        if (sf) {
-            res = 0xffff;
-            SET_FLAG(F_CF);
-            CLEAR_FLAG(F_ZF);
-            SET_FLAG(F_SF);
-            SET_FLAG(F_PF);
-        } else {
-            res = 0;
-            CLEAR_FLAG(F_CF);
-            SET_FLAG(F_ZF);
-            CLEAR_FLAG(F_SF);
-            CLEAR_FLAG(F_PF);
-        }
-    }
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SAR instruction and side effects.
-****************************************************************************/
-u32 sar_long(u32 d, u8 s)
-{
-    u32 cnt, res, cf, mask, sf;
-
-    sf = d & 0x80000000;
-    cnt = s % 32;
-    res = d;
-    if (cnt > 0 && cnt < 32) {
-        mask = (1 << (32 - cnt)) - 1;
-        cf = d & (1 << (cnt - 1));
-        res = (d >> cnt) & mask;
-        CONDITIONAL_SET_FLAG(cf, F_CF);
-        if (sf) {
-            res |= ~mask;
-        }
-        set_szp_flags_32(res);
-    } else if (cnt >= 32) {
-        if (sf) {
-            res = 0xffffffff;
-            SET_FLAG(F_CF);
-            CLEAR_FLAG(F_ZF);
-            SET_FLAG(F_SF);
-            SET_FLAG(F_PF);
-        } else {
-            res = 0;
-            CLEAR_FLAG(F_CF);
-            SET_FLAG(F_ZF);
-            CLEAR_FLAG(F_SF);
-            CLEAR_FLAG(F_PF);
-        }
-    }
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SHLD instruction and side effects.
-****************************************************************************/
-u16 shld_word (u16 d, u16 fill, u8 s)
-{
-    unsigned int cnt, res, cf;
-
-    if (s < 16) {
-        cnt = s % 16;
-        if (cnt > 0) {
-            res = (d << cnt) | (fill >> (16-cnt));
-            cf = d & (1 << (16 - cnt));
-            CONDITIONAL_SET_FLAG(cf, F_CF);
-            set_szp_flags_16((u16)res);
-        } else {
-            res = d;
-        }
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG((((res & 0x8000) == 0x8000) ^
-                                  (ACCESS_FLAG(F_CF) != 0)), F_OF);
-        } else {
-            CLEAR_FLAG(F_OF);
-        }
-    } else {
-        res = 0;
-        CONDITIONAL_SET_FLAG((d << (s-1)) & 0x8000, F_CF);
-        CLEAR_FLAG(F_OF);
-        CLEAR_FLAG(F_SF);
-        SET_FLAG(F_PF);
-        SET_FLAG(F_ZF);
-    }
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SHLD instruction and side effects.
-****************************************************************************/
-u32 shld_long (u32 d, u32 fill, u8 s)
-{
-    unsigned int cnt, res, cf;
-
-    if (s < 32) {
-        cnt = s % 32;
-        if (cnt > 0) {
-            res = (d << cnt) | (fill >> (32-cnt));
-            cf = d & (1 << (32 - cnt));
-            CONDITIONAL_SET_FLAG(cf, F_CF);
-            set_szp_flags_32((u32)res);
-        } else {
-            res = d;
-        }
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG((((res & 0x80000000) == 0x80000000) ^
-                                  (ACCESS_FLAG(F_CF) != 0)), F_OF);
-        } else {
-            CLEAR_FLAG(F_OF);
-        }
-    } else {
-        res = 0;
-        CONDITIONAL_SET_FLAG((d << (s-1)) & 0x80000000, F_CF);
-        CLEAR_FLAG(F_OF);
-        CLEAR_FLAG(F_SF);
-        SET_FLAG(F_PF);
-        SET_FLAG(F_ZF);
-    }
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SHRD instruction and side effects.
-****************************************************************************/
-u16 shrd_word (u16 d, u16 fill, u8 s)
-{
-    unsigned int cnt, res, cf;
-
-    if (s < 16) {
-        cnt = s % 16;
-        if (cnt > 0) {
-            cf = d & (1 << (cnt - 1));
-            res = (d >> cnt) | (fill << (16 - cnt));
-            CONDITIONAL_SET_FLAG(cf, F_CF);
-            set_szp_flags_16((u16)res);
-        } else {
-            res = d;
-        }
-
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG(XOR2(res >> 14), F_OF);
-        } else {
-            CLEAR_FLAG(F_OF);
-        }
-    } else {
-        res = 0;
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_OF);
-        SET_FLAG(F_ZF);
-        CLEAR_FLAG(F_SF);
-        CLEAR_FLAG(F_PF);
-    }
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SHRD instruction and side effects.
-****************************************************************************/
-u32 shrd_long (u32 d, u32 fill, u8 s)
-{
-    unsigned int cnt, res, cf;
-
-    if (s < 32) {
-        cnt = s % 32;
-        if (cnt > 0) {
-            cf = d & (1 << (cnt - 1));
-            res = (d >> cnt) | (fill << (32 - cnt));
-            CONDITIONAL_SET_FLAG(cf, F_CF);
-            set_szp_flags_32((u32)res);
-        } else {
-            res = d;
-        }
-        if (cnt == 1) {
-            CONDITIONAL_SET_FLAG(XOR2(res >> 30), F_OF);
-        } else {
-            CLEAR_FLAG(F_OF);
-        }
-    } else {
-        res = 0;
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_OF);
-        SET_FLAG(F_ZF);
-        CLEAR_FLAG(F_SF);
-        CLEAR_FLAG(F_PF);
-    }
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SBB instruction and side effects.
-****************************************************************************/
-u8 sbb_byte(u8 d, u8 s)
-{
-    u32 res;   /* all operands in native machine order */
-    u32 bc;
-
-    if (ACCESS_FLAG(F_CF))
-        res = d - s - 1;
-    else
-        res = d - s;
-    set_szp_flags_8((u8)res);
-
-    /* calculate the borrow chain.  See note at top */
-    bc = (res & (~d | s)) | (~d & s);
-    CONDITIONAL_SET_FLAG(bc & 0x80, F_CF);
-    CONDITIONAL_SET_FLAG(XOR2(bc >> 6), F_OF);
-    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SBB instruction and side effects.
-****************************************************************************/
-u16 sbb_word(u16 d, u16 s)
-{
-    u32 res;   /* all operands in native machine order */
-    u32 bc;
-
-    if (ACCESS_FLAG(F_CF))
-        res = d - s - 1;
-    else
-        res = d - s;
-    set_szp_flags_16((u16)res);
-
-    /* calculate the borrow chain.  See note at top */
-    bc = (res & (~d | s)) | (~d & s);
-    CONDITIONAL_SET_FLAG(bc & 0x8000, F_CF);
-    CONDITIONAL_SET_FLAG(XOR2(bc >> 14), F_OF);
-    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SBB instruction and side effects.
-****************************************************************************/
-u32 sbb_long(u32 d, u32 s)
-{
-    u32 res;   /* all operands in native machine order */
-    u32 bc;
-
-    if (ACCESS_FLAG(F_CF))
-        res = d - s - 1;
-    else
-        res = d - s;
-
-    set_szp_flags_32(res);
-
-    /* calculate the borrow chain.  See note at top */
-    bc = (res & (~d | s)) | (~d & s);
-    CONDITIONAL_SET_FLAG(bc & 0x80000000, F_CF);
-    CONDITIONAL_SET_FLAG(XOR2(bc >> 30), F_OF);
-    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SUB instruction and side effects.
-****************************************************************************/
-u8 sub_byte(u8 d, u8 s)
-{
-    u32 res;   /* all operands in native machine order */
-    u32 bc;
-
-    res = d - s;
-    set_szp_flags_8((u8)res);
-
-    /* calculate the borrow chain.  See note at top */
-    bc = (res & (~d | s)) | (~d & s);
-    CONDITIONAL_SET_FLAG(bc & 0x80, F_CF);
-    CONDITIONAL_SET_FLAG(XOR2(bc >> 6), F_OF);
-    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
-    return (u8)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SUB instruction and side effects.
-****************************************************************************/
-u16 sub_word(u16 d, u16 s)
-{
-    u32 res;   /* all operands in native machine order */
-    u32 bc;
-
-    res = d - s;
-    set_szp_flags_16((u16)res);
-
-    /* calculate the borrow chain.  See note at top */
-    bc = (res & (~d | s)) | (~d & s);
-    CONDITIONAL_SET_FLAG(bc & 0x8000, F_CF);
-    CONDITIONAL_SET_FLAG(XOR2(bc >> 14), F_OF);
-    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
-    return (u16)res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the SUB instruction and side effects.
-****************************************************************************/
-u32 sub_long(u32 d, u32 s)
-{
-    u32 res;   /* all operands in native machine order */
-    u32 bc;
-
-    res = d - s;
-    set_szp_flags_32(res);
-
-    /* calculate the borrow chain.  See note at top */
-    bc = (res & (~d | s)) | (~d & s);
-    CONDITIONAL_SET_FLAG(bc & 0x80000000, F_CF);
-    CONDITIONAL_SET_FLAG(XOR2(bc >> 30), F_OF);
-    CONDITIONAL_SET_FLAG(bc & 0x8, F_AF);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the TEST instruction and side effects.
-****************************************************************************/
-void test_byte(u8 d, u8 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d & s;
-
-    CLEAR_FLAG(F_OF);
-    set_szp_flags_8((u8)res);
-    /* AF == dont care */
-    CLEAR_FLAG(F_CF);
-}
-
-/****************************************************************************
-REMARKS:
-Implements the TEST instruction and side effects.
-****************************************************************************/
-void test_word(u16 d, u16 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d & s;
-
-    CLEAR_FLAG(F_OF);
-    set_szp_flags_16((u16)res);
-    /* AF == dont care */
-    CLEAR_FLAG(F_CF);
-}
-
-/****************************************************************************
-REMARKS:
-Implements the TEST instruction and side effects.
-****************************************************************************/
-void test_long(u32 d, u32 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d & s;
-
-    CLEAR_FLAG(F_OF);
-    set_szp_flags_32(res);
-    /* AF == dont care */
-    CLEAR_FLAG(F_CF);
-}
-
-/****************************************************************************
-REMARKS:
-Implements the XOR instruction and side effects.
-****************************************************************************/
-u8 xor_byte(u8 d, u8 s)
-{
-    u8 res;    /* all operands in native machine order */
-
-    res = d ^ s;
-    no_carry_byte_side_eff(res);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the XOR instruction and side effects.
-****************************************************************************/
-u16 xor_word(u16 d, u16 s)
-{
-    u16 res;   /* all operands in native machine order */
-
-    res = d ^ s;
-    no_carry_word_side_eff(res);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the XOR instruction and side effects.
-****************************************************************************/
-u32 xor_long(u32 d, u32 s)
-{
-    u32 res;   /* all operands in native machine order */
-
-    res = d ^ s;
-    no_carry_long_side_eff(res);
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the IMUL instruction and side effects.
-****************************************************************************/
-void imul_byte(u8 s)
-{
-    s16 res = (s16)((s8)M.x86.R_AL * (s8)s);
-
-    M.x86.R_AX = res;
-    if (((M.x86.R_AL & 0x80) == 0 && M.x86.R_AH == 0x00) ||
-        ((M.x86.R_AL & 0x80) != 0 && M.x86.R_AH == 0xFF)) {
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_OF);
-    } else {
-        SET_FLAG(F_CF);
-        SET_FLAG(F_OF);
-    }
-}
-
-/****************************************************************************
-REMARKS:
-Implements the IMUL instruction and side effects.
-****************************************************************************/
-void imul_word(u16 s)
-{
-    s32 res = (s16)M.x86.R_AX * (s16)s;
-
-    M.x86.R_AX = (u16)res;
-    M.x86.R_DX = (u16)(res >> 16);
-    if (((M.x86.R_AX & 0x8000) == 0 && M.x86.R_DX == 0x0000) ||
-        ((M.x86.R_AX & 0x8000) != 0 && M.x86.R_DX == 0xFFFF)) {
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_OF);
-    } else {
-        SET_FLAG(F_CF);
-        SET_FLAG(F_OF);
-    }
-}
-
-/****************************************************************************
-REMARKS:
-Implements the IMUL instruction and side effects.
-****************************************************************************/
-void imul_long_direct(u32 *res_lo, u32* res_hi,u32 d, u32 s)
-{
-#ifdef  __HAS_LONG_LONG__
-    s64 res = (s64)(s32)d * (s64)(s32)s;
-
-    *res_lo = (u32)res;
-    *res_hi = (u32)(res >> 32);
-#else
-    u32 d_lo,d_hi,d_sign;
-    u32 s_lo,s_hi,s_sign;
-    u32 rlo_lo,rlo_hi,rhi_lo;
-
-    if ((d_sign = d & 0x80000000) != 0)
-        d = -d;
-    d_lo = d & 0xFFFF;
-    d_hi = d >> 16;
-    if ((s_sign = s & 0x80000000) != 0)
-        s = -s;
-    s_lo = s & 0xFFFF;
-    s_hi = s >> 16;
-    rlo_lo = d_lo * s_lo;
-    rlo_hi = (d_hi * s_lo + d_lo * s_hi) + (rlo_lo >> 16);
-    rhi_lo = d_hi * s_hi + (rlo_hi >> 16);
-    *res_lo = (rlo_hi << 16) | (rlo_lo & 0xFFFF);
-    *res_hi = rhi_lo;
-    if (d_sign != s_sign) {
-        d = ~*res_lo;
-        s = (((d & 0xFFFF) + 1) >> 16) + (d >> 16);
-        *res_lo = ~*res_lo+1;
-        *res_hi = ~*res_hi+(s >> 16);
-        }
-#endif
-}
-
-/****************************************************************************
-REMARKS:
-Implements the IMUL instruction and side effects.
-****************************************************************************/
-void imul_long(u32 s)
-{
-    imul_long_direct(&M.x86.R_EAX,&M.x86.R_EDX,M.x86.R_EAX,s);
-    if (((M.x86.R_EAX & 0x80000000) == 0 && M.x86.R_EDX == 0x00000000) ||
-        ((M.x86.R_EAX & 0x80000000) != 0 && M.x86.R_EDX == 0xFFFFFFFF)) {
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_OF);
-    } else {
-        SET_FLAG(F_CF);
-        SET_FLAG(F_OF);
-    }
-}
-
-/****************************************************************************
-REMARKS:
-Implements the MUL instruction and side effects.
-****************************************************************************/
-void mul_byte(u8 s)
-{
-    u16 res = (u16)(M.x86.R_AL * s);
-
-    M.x86.R_AX = res;
-    if (M.x86.R_AH == 0) {
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_OF);
-    } else {
-        SET_FLAG(F_CF);
-        SET_FLAG(F_OF);
-    }
-}
-
-/****************************************************************************
-REMARKS:
-Implements the MUL instruction and side effects.
-****************************************************************************/
-void mul_word(u16 s)
-{
-    u32 res = M.x86.R_AX * s;
-
-    M.x86.R_AX = (u16)res;
-    M.x86.R_DX = (u16)(res >> 16);
-    if (M.x86.R_DX == 0) {
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_OF);
-    } else {
-        SET_FLAG(F_CF);
-        SET_FLAG(F_OF);
-    }
-}
-
-/****************************************************************************
-REMARKS:
-Implements the MUL instruction and side effects.
-****************************************************************************/
-void mul_long(u32 s)
-{
-#ifdef  __HAS_LONG_LONG__
-    u64 res = (u64)M.x86.R_EAX * s;
-
-    M.x86.R_EAX = (u32)res;
-    M.x86.R_EDX = (u32)(res >> 32);
-#else
-    u32 a,a_lo,a_hi;
-    u32 s_lo,s_hi;
-    u32 rlo_lo,rlo_hi,rhi_lo;
-
-    a = M.x86.R_EAX;
-    a_lo = a & 0xFFFF;
-    a_hi = a >> 16;
-    s_lo = s & 0xFFFF;
-    s_hi = s >> 16;
-    rlo_lo = a_lo * s_lo;
-    rlo_hi = (a_hi * s_lo + a_lo * s_hi) + (rlo_lo >> 16);
-    rhi_lo = a_hi * s_hi + (rlo_hi >> 16);
-    M.x86.R_EAX = (rlo_hi << 16) | (rlo_lo & 0xFFFF);
-    M.x86.R_EDX = rhi_lo;
-#endif
-    if (M.x86.R_EDX == 0) {
-        CLEAR_FLAG(F_CF);
-        CLEAR_FLAG(F_OF);
-    } else {
-        SET_FLAG(F_CF);
-        SET_FLAG(F_OF);
-    }
-}
-
-/****************************************************************************
-REMARKS:
-Implements the IDIV instruction and side effects.
-****************************************************************************/
-void idiv_byte(u8 s)
-{
-    s32 dvd, div, mod;
-
-    dvd = (s16)M.x86.R_AX;
-    if (s == 0) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    div = dvd / (s8)s;
-    mod = dvd % (s8)s;
-    if (abs(div) > 0x7f) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    M.x86.R_AL = (s8) div;
-    M.x86.R_AH = (s8) mod;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the IDIV instruction and side effects.
-****************************************************************************/
-void idiv_word(u16 s)
-{
-    s32 dvd, div, mod;
-
-    dvd = (((s32)M.x86.R_DX) << 16) | M.x86.R_AX;
-    if (s == 0) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    div = dvd / (s16)s;
-    mod = dvd % (s16)s;
-    if (abs(div) > 0x7fff) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    CLEAR_FLAG(F_CF);
-    CLEAR_FLAG(F_SF);
-    CONDITIONAL_SET_FLAG(div == 0, F_ZF);
-    set_parity_flag(mod);
-
-    M.x86.R_AX = (u16)div;
-    M.x86.R_DX = (u16)mod;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the IDIV instruction and side effects.
-****************************************************************************/
-void idiv_long(u32 s)
-{
-#ifdef  __HAS_LONG_LONG__
-    s64 dvd, div, mod;
-
-    dvd = (((s64)M.x86.R_EDX) << 32) | M.x86.R_EAX;
-    if (s == 0) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    div = dvd / (s32)s;
-    mod = dvd % (s32)s;
-    if (abs(div) > 0x7fffffff) {
-        x86emu_intr_raise(0);
-        return;
-    }
-#else
-    s32 div = 0, mod;
-    s32 h_dvd = M.x86.R_EDX;
-    u32 l_dvd = M.x86.R_EAX;
-    u32 abs_s = s & 0x7FFFFFFF;
-    u32 abs_h_dvd = h_dvd & 0x7FFFFFFF;
-    u32 h_s = abs_s >> 1;
-    u32 l_s = abs_s << 31;
-    int counter = 31;
-    int carry;
-
-    if (s == 0) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    do {
-        div <<= 1;
-        carry = (l_dvd >= l_s) ? 0 : 1;
-
-        if (abs_h_dvd < (h_s + carry)) {
-            h_s >>= 1;
-            l_s = abs_s << (--counter);
-            continue;
-        } else {
-            abs_h_dvd -= (h_s + carry);
-            l_dvd = carry ? ((0xFFFFFFFF - l_s) + l_dvd + 1)
-                : (l_dvd - l_s);
-            h_s >>= 1;
-            l_s = abs_s << (--counter);
-            div |= 1;
-            continue;
-        }
-
-    } while (counter > -1);
-    /* overflow */
-    if (abs_h_dvd || (l_dvd > abs_s)) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    /* sign */
-    div |= ((h_dvd & 0x10000000) ^ (s & 0x10000000));
-    mod = l_dvd;
-
-#endif
-    CLEAR_FLAG(F_CF);
-    CLEAR_FLAG(F_AF);
-    CLEAR_FLAG(F_SF);
-    SET_FLAG(F_ZF);
-    set_parity_flag(mod);
-
-    M.x86.R_EAX = (u32)div;
-    M.x86.R_EDX = (u32)mod;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the DIV instruction and side effects.
-****************************************************************************/
-void div_byte(u8 s)
-{
-    u32 dvd, div, mod;
-
-    dvd = M.x86.R_AX;
-    if (s == 0) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    div = dvd / (u8)s;
-    mod = dvd % (u8)s;
-    if (abs(div) > 0xff) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    M.x86.R_AL = (u8)div;
-    M.x86.R_AH = (u8)mod;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the DIV instruction and side effects.
-****************************************************************************/
-void div_word(u16 s)
-{
-    u32 dvd, div, mod;
-
-    dvd = (((u32)M.x86.R_DX) << 16) | M.x86.R_AX;
-    if (s == 0) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    div = dvd / (u16)s;
-    mod = dvd % (u16)s;
-    if (abs(div) > 0xffff) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    CLEAR_FLAG(F_CF);
-    CLEAR_FLAG(F_SF);
-    CONDITIONAL_SET_FLAG(div == 0, F_ZF);
-    set_parity_flag(mod);
-
-    M.x86.R_AX = (u16)div;
-    M.x86.R_DX = (u16)mod;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the DIV instruction and side effects.
-****************************************************************************/
-void div_long(u32 s)
-{
-#ifdef  __HAS_LONG_LONG__
-    u64 dvd, div, mod;
-
-    dvd = (((u64)M.x86.R_EDX) << 32) | M.x86.R_EAX;
-    if (s == 0) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    div = dvd / (u32)s;
-    mod = dvd % (u32)s;
-    if (abs(div) > 0xffffffff) {
-        x86emu_intr_raise(0);
-        return;
-    }
-#else
-    s32 div = 0, mod;
-    s32 h_dvd = M.x86.R_EDX;
-    u32 l_dvd = M.x86.R_EAX;
-
-    u32 h_s = s;
-    u32 l_s = 0;
-    int counter = 32;
-    int carry;
-
-    if (s == 0) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    do {
-        div <<= 1;
-        carry = (l_dvd >= l_s) ? 0 : 1;
-
-        if (h_dvd < (h_s + carry)) {
-            h_s >>= 1;
-            l_s = s << (--counter);
-            continue;
-        } else {
-            h_dvd -= (h_s + carry);
-            l_dvd = carry ? ((0xFFFFFFFF - l_s) + l_dvd + 1)
-                : (l_dvd - l_s);
-            h_s >>= 1;
-            l_s = s << (--counter);
-            div |= 1;
-            continue;
-        }
-
-    } while (counter > -1);
-    /* overflow */
-    if (h_dvd || (l_dvd > s)) {
-        x86emu_intr_raise(0);
-        return;
-    }
-    mod = l_dvd;
-#endif
-    CLEAR_FLAG(F_CF);
-    CLEAR_FLAG(F_AF);
-    CLEAR_FLAG(F_SF);
-    SET_FLAG(F_ZF);
-    set_parity_flag(mod);
-
-    M.x86.R_EAX = (u32)div;
-    M.x86.R_EDX = (u32)mod;
-}
-
-/****************************************************************************
-REMARKS:
-Implements the IN string instruction and side effects.
-****************************************************************************/
-
-static void single_in(int size)
-{
-    if(size == 1)
-        store_data_byte_abs(M.x86.R_ES, M.x86.R_DI,(*sys_inb)(M.x86.R_DX));
-    else if (size == 2)
-        store_data_word_abs(M.x86.R_ES, M.x86.R_DI,(*sys_inw)(M.x86.R_DX));
-    else
-        store_data_long_abs(M.x86.R_ES, M.x86.R_DI,(*sys_inl)(M.x86.R_DX));
-}
-
-void ins(int size)
-{
-    int inc = size;
-
-    if (ACCESS_FLAG(F_DF)) {
-        inc = -size;
-    }
-    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
-        /* dont care whether REPE or REPNE */
-        /* in until (E)CX is ZERO. */
-        u32 count = ((M.x86.mode & SYSMODE_32BIT_REP) ?
-                     M.x86.R_ECX : M.x86.R_CX);
-        while (count--) {
-          single_in(size);
-          M.x86.R_DI += inc;
-          }
-        M.x86.R_CX = 0;
-        if (M.x86.mode & SYSMODE_32BIT_REP) {
-            M.x86.R_ECX = 0;
-        }
-        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
-    } else {
-        single_in(size);
-        M.x86.R_DI += inc;
-    }
-}
-
-/****************************************************************************
-REMARKS:
-Implements the OUT string instruction and side effects.
-****************************************************************************/
-
-static void single_out(int size)
-{
-     if(size == 1)
-       (*sys_outb)(M.x86.R_DX,fetch_data_byte_abs(M.x86.R_ES, M.x86.R_SI));
-     else if (size == 2)
-       (*sys_outw)(M.x86.R_DX,fetch_data_word_abs(M.x86.R_ES, M.x86.R_SI));
-     else
-       (*sys_outl)(M.x86.R_DX,fetch_data_long_abs(M.x86.R_ES, M.x86.R_SI));
-}
-
-void outs(int size)
-{
-    int inc = size;
-
-    if (ACCESS_FLAG(F_DF)) {
-        inc = -size;
-    }
-    if (M.x86.mode & (SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE)) {
-        /* dont care whether REPE or REPNE */
-        /* out until (E)CX is ZERO. */
-        u32 count = ((M.x86.mode & SYSMODE_32BIT_REP) ?
-                     M.x86.R_ECX : M.x86.R_CX);
-        while (count--) {
-          single_out(size);
-          M.x86.R_SI += inc;
-          }
-        M.x86.R_CX = 0;
-        if (M.x86.mode & SYSMODE_32BIT_REP) {
-            M.x86.R_ECX = 0;
-        }
-        M.x86.mode &= ~(SYSMODE_PREFIX_REPE | SYSMODE_PREFIX_REPNE);
-    } else {
-        single_out(size);
-        M.x86.R_SI += inc;
-    }
-}
-
-/****************************************************************************
-PARAMETERS:
-addr    - Address to fetch word from
-
-REMARKS:
-Fetches a word from emulator memory using an absolute address.
-****************************************************************************/
-u16 mem_access_word(int addr)
-{
-DB( if (CHECK_MEM_ACCESS())
-      x86emu_check_mem_access(addr);)
-    return (*sys_rdw)(addr);
-}
-
-/****************************************************************************
-REMARKS:
-Pushes a word onto the stack.
-
-NOTE: Do not inline this, as (*sys_wrX) is already inline!
-****************************************************************************/
-void push_word(u16 w)
-{
-DB( if (CHECK_SP_ACCESS())
-      x86emu_check_sp_access();)
-    M.x86.R_SP -= 2;
-    (*sys_wrw)(((u32)M.x86.R_SS << 4)  + M.x86.R_SP, w);
-}
-
-/****************************************************************************
-REMARKS:
-Pushes a long onto the stack.
-
-NOTE: Do not inline this, as (*sys_wrX) is already inline!
-****************************************************************************/
-void push_long(u32 w)
-{
-DB( if (CHECK_SP_ACCESS())
-      x86emu_check_sp_access();)
-    M.x86.R_SP -= 4;
-    (*sys_wrl)(((u32)M.x86.R_SS << 4)  + M.x86.R_SP, w);
-}
-
-/****************************************************************************
-REMARKS:
-Pops a word from the stack.
-
-NOTE: Do not inline this, as (*sys_rdX) is already inline!
-****************************************************************************/
-u16 pop_word(void)
-{
-    u16 res;
-
-DB( if (CHECK_SP_ACCESS())
-      x86emu_check_sp_access();)
-    res = (*sys_rdw)(((u32)M.x86.R_SS << 4)  + M.x86.R_SP);
-    M.x86.R_SP += 2;
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-Pops a long from the stack.
-
-NOTE: Do not inline this, as (*sys_rdX) is already inline!
-****************************************************************************/
-u32 pop_long(void)
-{
-    u32 res;
-
-DB( if (CHECK_SP_ACCESS())
-      x86emu_check_sp_access();)
-    res = (*sys_rdl)(((u32)M.x86.R_SS << 4)  + M.x86.R_SP);
-    M.x86.R_SP += 4;
-    return res;
-}
-
-/****************************************************************************
-REMARKS:
-CPUID takes EAX/ECX as inputs, writes EAX/EBX/ECX/EDX as output
-****************************************************************************/
-void x86emu_cpuid(void)
-{
-    u32 feature = M.x86.R_EAX;
-
-    switch (feature) {
-    case 0:
-        /* Regardless if we have real data from the hardware, the emulator
-         * will only support upto feature 1, which we set in register EAX.
-         * Registers EBX:EDX:ECX contain a string identifying the CPU.
-         */
-        M.x86.R_EAX = 1;
-        /* EBX:EDX:ECX = "GenuineIntel" */
-        M.x86.R_EBX = 0x756e6547;
-        M.x86.R_EDX = 0x49656e69;
-        M.x86.R_ECX = 0x6c65746e;
-        break;
-    case 1:
-        /* If we don't have x86 compatible hardware, we return values from an
-         * Intel 486dx4; which was one of the first processors to have CPUID.
-         */
-        M.x86.R_EAX = 0x00000480;
-        M.x86.R_EBX = 0x00000000;
-        M.x86.R_ECX = 0x00000000;
-        M.x86.R_EDX = 0x00000002;       /* VME */
-        /* In the case that we have hardware CPUID instruction, we make sure
-         * that the features reported are limited to TSC and VME.
-         */
-        M.x86.R_EDX &= 0x00000012;
-        break;
-    default:
-        /* Finally, we don't support any additional features.  Most CPUs
-         * return all zeros when queried for invalid or unsupported feature
-         * numbers.
-         */
-        M.x86.R_EAX = 0;
-        M.x86.R_EBX = 0;
-        M.x86.R_ECX = 0;
-        M.x86.R_EDX = 0;
-        break;
-    }
-}
-
diff --git a/util/x86emu/x86emu/prim_ops.h b/util/x86emu/x86emu/prim_ops.h
deleted file mode 100644 (file)
index 7230a71..0000000
+++ /dev/null
@@ -1,232 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  Header file for primitive operation functions.
-*
-****************************************************************************/
-
-#ifndef __X86EMU_PRIM_OPS_H
-#define __X86EMU_PRIM_OPS_H
-
-#include "prim_asm.h"
-
-#ifdef  __cplusplus
-extern "C" {                                   /* Use "C" linkage when in C++ mode */
-#endif
-
-u16     aaa_word (u16 d);
-u16     aas_word (u16 d);
-u16     aad_word (u16 d);
-u16     aam_word (u8 d);
-u8      adc_byte (u8 d, u8 s);
-u16     adc_word (u16 d, u16 s);
-u32     adc_long (u32 d, u32 s);
-u8      add_byte (u8 d, u8 s);
-u16     add_word (u16 d, u16 s);
-u32     add_long (u32 d, u32 s);
-u8      and_byte (u8 d, u8 s);
-u16     and_word (u16 d, u16 s);
-u32     and_long (u32 d, u32 s);
-u8      cmp_byte (u8 d, u8 s);
-u16     cmp_word (u16 d, u16 s);
-u32     cmp_long (u32 d, u32 s);
-u8      daa_byte (u8 d);
-u8      das_byte (u8 d);
-u8      dec_byte (u8 d);
-u16     dec_word (u16 d);
-u32     dec_long (u32 d);
-u8      inc_byte (u8 d);
-u16     inc_word (u16 d);
-u32     inc_long (u32 d);
-u8      or_byte (u8 d, u8 s);
-u16     or_word (u16 d, u16 s);
-u32     or_long (u32 d, u32 s);
-u8      neg_byte (u8 s);
-u16     neg_word (u16 s);
-u32     neg_long (u32 s);
-u8      not_byte (u8 s);
-u16     not_word (u16 s);
-u32     not_long (u32 s);
-u8      rcl_byte (u8 d, u8 s);
-u16     rcl_word (u16 d, u8 s);
-u32     rcl_long (u32 d, u8 s);
-u8      rcr_byte (u8 d, u8 s);
-u16     rcr_word (u16 d, u8 s);
-u32     rcr_long (u32 d, u8 s);
-u8      rol_byte (u8 d, u8 s);
-u16     rol_word (u16 d, u8 s);
-u32     rol_long (u32 d, u8 s);
-u8      ror_byte (u8 d, u8 s);
-u16     ror_word (u16 d, u8 s);
-u32     ror_long (u32 d, u8 s);
-u8      shl_byte (u8 d, u8 s);
-u16     shl_word (u16 d, u8 s);
-u32     shl_long (u32 d, u8 s);
-u8      shr_byte (u8 d, u8 s);
-u16     shr_word (u16 d, u8 s);
-u32     shr_long (u32 d, u8 s);
-u8      sar_byte (u8 d, u8 s);
-u16     sar_word (u16 d, u8 s);
-u32     sar_long (u32 d, u8 s);
-u16     shld_word (u16 d, u16 fill, u8 s);
-u32     shld_long (u32 d, u32 fill, u8 s);
-u16     shrd_word (u16 d, u16 fill, u8 s);
-u32     shrd_long (u32 d, u32 fill, u8 s);
-u8      sbb_byte (u8 d, u8 s);
-u16     sbb_word (u16 d, u16 s);
-u32     sbb_long (u32 d, u32 s);
-u8      sub_byte (u8 d, u8 s);
-u16     sub_word (u16 d, u16 s);
-u32     sub_long (u32 d, u32 s);
-void    test_byte (u8 d, u8 s);
-void    test_word (u16 d, u16 s);
-void    test_long (u32 d, u32 s);
-u8      xor_byte (u8 d, u8 s);
-u16     xor_word (u16 d, u16 s);
-u32     xor_long (u32 d, u32 s);
-void    imul_byte (u8 s);
-void    imul_word (u16 s);
-void    imul_long (u32 s);
-void   imul_long_direct(u32 *res_lo, u32* res_hi,u32 d, u32 s);
-void    mul_byte (u8 s);
-void    mul_word (u16 s);
-void    mul_long (u32 s);
-void    idiv_byte (u8 s);
-void    idiv_word (u16 s);
-void    idiv_long (u32 s);
-void    div_byte (u8 s);
-void    div_word (u16 s);
-void    div_long (u32 s);
-void    ins (int size);
-void    outs (int size);
-u16     mem_access_word (int addr);
-void    push_word (u16 w);
-void    push_long (u32 w);
-u16     pop_word (void);
-u32    pop_long (void);
-void   x86emu_cpuid (void);
-
-#if  defined(__HAVE_INLINE_ASSEMBLER__) && !defined(PRIM_OPS_NO_REDEFINE_ASM)
-
-#define        aaa_word(d)             aaa_word_asm(&M.x86.R_EFLG,d)
-#define aas_word(d)            aas_word_asm(&M.x86.R_EFLG,d)
-#define aad_word(d)            aad_word_asm(&M.x86.R_EFLG,d)
-#define aam_word(d)            aam_word_asm(&M.x86.R_EFLG,d)
-#define adc_byte(d,s)  adc_byte_asm(&M.x86.R_EFLG,d,s)
-#define adc_word(d,s)  adc_word_asm(&M.x86.R_EFLG,d,s)
-#define adc_long(d,s)  adc_long_asm(&M.x86.R_EFLG,d,s)
-#define add_byte(d,s)  add_byte_asm(&M.x86.R_EFLG,d,s)
-#define add_word(d,s)  add_word_asm(&M.x86.R_EFLG,d,s)
-#define add_long(d,s)  add_long_asm(&M.x86.R_EFLG,d,s)
-#define and_byte(d,s)  and_byte_asm(&M.x86.R_EFLG,d,s)
-#define and_word(d,s)  and_word_asm(&M.x86.R_EFLG,d,s)
-#define and_long(d,s)  and_long_asm(&M.x86.R_EFLG,d,s)
-#define cmp_byte(d,s)  cmp_byte_asm(&M.x86.R_EFLG,d,s)
-#define cmp_word(d,s)  cmp_word_asm(&M.x86.R_EFLG,d,s)
-#define cmp_long(d,s)  cmp_long_asm(&M.x86.R_EFLG,d,s)
-#define daa_byte(d)            daa_byte_asm(&M.x86.R_EFLG,d)
-#define das_byte(d)            das_byte_asm(&M.x86.R_EFLG,d)
-#define dec_byte(d)            dec_byte_asm(&M.x86.R_EFLG,d)
-#define dec_word(d)            dec_word_asm(&M.x86.R_EFLG,d)
-#define dec_long(d)            dec_long_asm(&M.x86.R_EFLG,d)
-#define inc_byte(d)            inc_byte_asm(&M.x86.R_EFLG,d)
-#define inc_word(d)            inc_word_asm(&M.x86.R_EFLG,d)
-#define inc_long(d)            inc_long_asm(&M.x86.R_EFLG,d)
-#define or_byte(d,s)   or_byte_asm(&M.x86.R_EFLG,d,s)
-#define or_word(d,s)   or_word_asm(&M.x86.R_EFLG,d,s)
-#define or_long(d,s)   or_long_asm(&M.x86.R_EFLG,d,s)
-#define neg_byte(s)            neg_byte_asm(&M.x86.R_EFLG,s)
-#define neg_word(s)            neg_word_asm(&M.x86.R_EFLG,s)
-#define neg_long(s)            neg_long_asm(&M.x86.R_EFLG,s)
-#define not_byte(s)            not_byte_asm(&M.x86.R_EFLG,s)
-#define not_word(s)            not_word_asm(&M.x86.R_EFLG,s)
-#define not_long(s)            not_long_asm(&M.x86.R_EFLG,s)
-#define rcl_byte(d,s)  rcl_byte_asm(&M.x86.R_EFLG,d,s)
-#define rcl_word(d,s)  rcl_word_asm(&M.x86.R_EFLG,d,s)
-#define rcl_long(d,s)  rcl_long_asm(&M.x86.R_EFLG,d,s)
-#define rcr_byte(d,s)  rcr_byte_asm(&M.x86.R_EFLG,d,s)
-#define rcr_word(d,s)  rcr_word_asm(&M.x86.R_EFLG,d,s)
-#define rcr_long(d,s)  rcr_long_asm(&M.x86.R_EFLG,d,s)
-#define rol_byte(d,s)  rol_byte_asm(&M.x86.R_EFLG,d,s)
-#define rol_word(d,s)  rol_word_asm(&M.x86.R_EFLG,d,s)
-#define rol_long(d,s)  rol_long_asm(&M.x86.R_EFLG,d,s)
-#define ror_byte(d,s)  ror_byte_asm(&M.x86.R_EFLG,d,s)
-#define ror_word(d,s)  ror_word_asm(&M.x86.R_EFLG,d,s)
-#define ror_long(d,s)  ror_long_asm(&M.x86.R_EFLG,d,s)
-#define shl_byte(d,s)  shl_byte_asm(&M.x86.R_EFLG,d,s)
-#define shl_word(d,s)  shl_word_asm(&M.x86.R_EFLG,d,s)
-#define shl_long(d,s)  shl_long_asm(&M.x86.R_EFLG,d,s)
-#define shr_byte(d,s)  shr_byte_asm(&M.x86.R_EFLG,d,s)
-#define shr_word(d,s)  shr_word_asm(&M.x86.R_EFLG,d,s)
-#define shr_long(d,s)  shr_long_asm(&M.x86.R_EFLG,d,s)
-#define sar_byte(d,s)  sar_byte_asm(&M.x86.R_EFLG,d,s)
-#define sar_word(d,s)  sar_word_asm(&M.x86.R_EFLG,d,s)
-#define sar_long(d,s)  sar_long_asm(&M.x86.R_EFLG,d,s)
-#define shld_word(d,fill,s)    shld_word_asm(&M.x86.R_EFLG,d,fill,s)
-#define shld_long(d,fill,s)    shld_long_asm(&M.x86.R_EFLG,d,fill,s)
-#define shrd_word(d,fill,s)    shrd_word_asm(&M.x86.R_EFLG,d,fill,s)
-#define shrd_long(d,fill,s)    shrd_long_asm(&M.x86.R_EFLG,d,fill,s)
-#define sbb_byte(d,s)  sbb_byte_asm(&M.x86.R_EFLG,d,s)
-#define sbb_word(d,s)  sbb_word_asm(&M.x86.R_EFLG,d,s)
-#define sbb_long(d,s)  sbb_long_asm(&M.x86.R_EFLG,d,s)
-#define sub_byte(d,s)  sub_byte_asm(&M.x86.R_EFLG,d,s)
-#define sub_word(d,s)  sub_word_asm(&M.x86.R_EFLG,d,s)
-#define sub_long(d,s)  sub_long_asm(&M.x86.R_EFLG,d,s)
-#define test_byte(d,s) test_byte_asm(&M.x86.R_EFLG,d,s)
-#define test_word(d,s) test_word_asm(&M.x86.R_EFLG,d,s)
-#define test_long(d,s) test_long_asm(&M.x86.R_EFLG,d,s)
-#define xor_byte(d,s)  xor_byte_asm(&M.x86.R_EFLG,d,s)
-#define xor_word(d,s)  xor_word_asm(&M.x86.R_EFLG,d,s)
-#define xor_long(d,s)  xor_long_asm(&M.x86.R_EFLG,d,s)
-#define imul_byte(s)   imul_byte_asm(&M.x86.R_EFLG,&M.x86.R_AX,M.x86.R_AL,s)
-#define imul_word(s)   imul_word_asm(&M.x86.R_EFLG,&M.x86.R_AX,&M.x86.R_DX,M.x86.R_AX,s)
-#define imul_long(s)   imul_long_asm(&M.x86.R_EFLG,&M.x86.R_EAX,&M.x86.R_EDX,M.x86.R_EAX,s)
-#define imul_long_direct(res_lo,res_hi,d,s)    imul_long_asm(&M.x86.R_EFLG,res_lo,res_hi,d,s)
-#define mul_byte(s)            mul_byte_asm(&M.x86.R_EFLG,&M.x86.R_AX,M.x86.R_AL,s)
-#define mul_word(s)            mul_word_asm(&M.x86.R_EFLG,&M.x86.R_AX,&M.x86.R_DX,M.x86.R_AX,s)
-#define mul_long(s)            mul_long_asm(&M.x86.R_EFLG,&M.x86.R_EAX,&M.x86.R_EDX,M.x86.R_EAX,s)
-#define idiv_byte(s)   idiv_byte_asm(&M.x86.R_EFLG,&M.x86.R_AL,&M.x86.R_AH,M.x86.R_AX,s)
-#define idiv_word(s)   idiv_word_asm(&M.x86.R_EFLG,&M.x86.R_AX,&M.x86.R_DX,M.x86.R_AX,M.x86.R_DX,s)
-#define idiv_long(s)   idiv_long_asm(&M.x86.R_EFLG,&M.x86.R_EAX,&M.x86.R_EDX,M.x86.R_EAX,M.x86.R_EDX,s)
-#define div_byte(s)            div_byte_asm(&M.x86.R_EFLG,&M.x86.R_AL,&M.x86.R_AH,M.x86.R_AX,s)
-#define div_word(s)            div_word_asm(&M.x86.R_EFLG,&M.x86.R_AX,&M.x86.R_DX,M.x86.R_AX,M.x86.R_DX,s)
-#define div_long(s)            div_long_asm(&M.x86.R_EFLG,&M.x86.R_EAX,&M.x86.R_EDX,M.x86.R_EAX,M.x86.R_EDX,s)
-
-#endif
-
-#ifdef  __cplusplus
-}                                              /* End of "C" linkage for C++           */
-#endif
-
-#endif /* __X86EMU_PRIM_OPS_H */
diff --git a/util/x86emu/x86emu/sys.c b/util/x86emu/x86emu/sys.c
deleted file mode 100644 (file)
index 957e0ca..0000000
+++ /dev/null
@@ -1,406 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  This file includes subroutines which are related to
-*                              programmed I/O and memory access. Included in this module
-*                              are default functions with limited usefulness. For real
-*                              uses these functions will most likely be overriden by the
-*                              user library.
-*
-****************************************************************************/
-/* $XFree86: xc/extras/x86emu/src/x86emu/sys.c,v 1.5 2000/08/23 22:10:01 tsi Exp $ */
-
-#include <arch/io.h>
-#include <x86emu/x86emu.h>
-#include <x86emu/regs.h>
-#include "debug.h"
-#include "prim_ops.h"
-
-#ifdef IN_MODULE
-#include "xf86_ansic.h"
-#else
-#include <string.h>
-#endif
-/*------------------------- Global Variables ------------------------------*/
-
-X86EMU_sysEnv _X86EMU_env;     /* Global emulator machine state */
-X86EMU_intrFuncs _X86EMU_intrTab[256];
-
-/*----------------------------- Implementation ----------------------------*/
-
-/* compute a pointer. This replaces code scattered all over the place! */
-static u8 *mem_ptr(u32 addr, int size)
-{
-       u8 *retaddr = 0;
-
-       if (addr > M.mem_size - size) {
-               DB(printf("mem_ptr: address %#x out of range!\n", addr);)
-                   HALT_SYS();
-       }
-       if (addr < 0x200) {
-               //printf("%x:%x updating int vector 0x%x\n",
-               //       M.x86.R_CS, M.x86.R_IP, addr >> 2);
-       }
-       retaddr = (u8 *) (M.mem_base + addr);
-
-       return retaddr;
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - Emulator memory address to read
-
-RETURNS:
-Byte value read from emulator memory.
-
-REMARKS:
-Reads a byte value from the emulator memory. 
-****************************************************************************/
-u8 X86API rdb(u32 addr)
-{
-       u8 val;
-       u8 *ptr;
-
-       ptr = mem_ptr(addr, 1);
-
-       val = *ptr;
-       DB(if (DEBUG_MEM_TRACE())
-          printf("%#08x 1 -> %#x\n", addr, val);)
-               return val;
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - Emulator memory address to read
-
-RETURNS:
-Word value read from emulator memory.
-
-REMARKS:
-Reads a word value from the emulator memory.
-****************************************************************************/
-u16 X86API rdw(u32 addr)
-{
-       u16 val = 0;
-       u8 *ptr;
-
-       ptr = mem_ptr(addr, 2);
-       val = *(u16 *) (ptr);
-
-       DB(if (DEBUG_MEM_TRACE())
-          printf("%#08x 2 -> %#x\n", addr, val);)
-       return val;
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - Emulator memory address to read
-
-RETURNS:
-Long value read from emulator memory.
-REMARKS:
-Reads a long value from the emulator memory. 
-****************************************************************************/
-u32 X86API rdl(u32 addr)
-{
-       u32 val = 0;
-       u8 *ptr;
-
-       ptr = mem_ptr(addr, 4);
-               val = *(u32 *) (ptr);
-
-       DB(if (DEBUG_MEM_TRACE())
-          printf("%#08x 4 -> %#x\n", addr, val);)
-       return val;
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - Emulator memory address to read
-val            - Value to store
-
-REMARKS:
-Writes a byte value to emulator memory.
-****************************************************************************/
-void X86API wrb(u32 addr, u8 val)
-{
-       u8 *ptr;
-
-       ptr = mem_ptr(addr, 1);
-       *(u8 *) (ptr) = val;
-
-       DB(if (DEBUG_MEM_TRACE())
-          printf("%#08x 1 <- %#x\n", addr, val);)
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - Emulator memory address to read
-val            - Value to store
-
-REMARKS:
-Writes a word value to emulator memory.
-****************************************************************************/
-void X86API wrw(u32 addr, u16 val)
-{
-       u8 *ptr;
-
-       ptr = mem_ptr(addr, 2);
-       *(u16 *) (ptr) = val;
-
-       DB(if (DEBUG_MEM_TRACE())
-          printf("%#08x 2 <- %#x\n", addr, val);)
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - Emulator memory address to read
-val            - Value to store
-
-REMARKS:
-Writes a long value to emulator memory. 
-****************************************************************************/
-void X86API wrl(u32 addr, u32 val)
-{
-       u8 *ptr;
-
-       ptr = mem_ptr(addr, 4);
-       *(u32 *) (ptr) = val;
-
-       DB(if (DEBUG_MEM_TRACE())
-          printf("%#08x 4 <- %#x\n", addr, val);)
-
-
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - PIO address to read
-RETURN:
-0
-REMARKS:
-Default PIO byte read function. Doesn't perform real inb.
-****************************************************************************/
-static u8 X86API p_inb(X86EMU_pioAddr addr)
-{
-       DB(if (DEBUG_IO_TRACE())
-               printf("inb %#04x \n", addr);)
-       return inb(addr);
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - PIO address to read
-RETURN:
-0
-REMARKS:
-Default PIO word read function. Doesn't perform real inw.
-****************************************************************************/
-static u16 X86API p_inw(X86EMU_pioAddr addr)
-{
-       DB(if (DEBUG_IO_TRACE())
-               printf("inw %#04x \n", addr);)
-       return inw(addr);
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - PIO address to read
-RETURN:
-0
-REMARKS:
-Default PIO long read function. Doesn't perform real inl.
-****************************************************************************/
-static u32 X86API p_inl(X86EMU_pioAddr addr)
-{
-       DB(if (DEBUG_IO_TRACE())
-               printf("inl %#04x \n", addr);)
-       return inl(addr);
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - PIO address to write
-val     - Value to store
-REMARKS:
-Default PIO byte write function. Doesn't perform real outb.
-****************************************************************************/
-static void X86API p_outb(X86EMU_pioAddr addr, u8 val)
-{
-       DB(if (DEBUG_IO_TRACE())
-               printf("outb %#02x -> %#04x \n", val, addr);)
-       outb(val, addr);
-       return;
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - PIO address to write
-val     - Value to store
-REMARKS:
-Default PIO word write function. Doesn't perform real outw.
-****************************************************************************/
-static void X86API p_outw(X86EMU_pioAddr addr, u16 val)
-{
-       DB(if (DEBUG_IO_TRACE())
-               printf("outw %#04x -> %#04x \n", val, addr);)
-       outw(val, addr);
-       return;
-}
-
-/****************************************************************************
-PARAMETERS:
-addr   - PIO address to write
-val     - Value to store
-REMARKS:
-Default PIO ;ong write function. Doesn't perform real outl.
-****************************************************************************/
-static void X86API p_outl(X86EMU_pioAddr addr, u32 val)
-{
-       DB(if (DEBUG_IO_TRACE())
-              printf("outl %#08x -> %#04x \n", val, addr);)
-
-       outl(val, addr);
-       return;
-}
-
-/*------------------------- Global Variables ------------------------------*/
-
-u8(X86APIP sys_rdb) (u32 addr) = rdb;
-u16(X86APIP sys_rdw) (u32 addr) = rdw;
-u32(X86APIP sys_rdl) (u32 addr) = rdl;
-void (X86APIP sys_wrb) (u32 addr, u8 val) = wrb;
-void (X86APIP sys_wrw) (u32 addr, u16 val) = wrw;
-void (X86APIP sys_wrl) (u32 addr, u32 val) = wrl;
-u8(X86APIP sys_inb) (X86EMU_pioAddr addr) = p_inb;
-u16(X86APIP sys_inw) (X86EMU_pioAddr addr) = p_inw;
-u32(X86APIP sys_inl) (X86EMU_pioAddr addr) = p_inl;
-void (X86APIP sys_outb) (X86EMU_pioAddr addr, u8 val) = p_outb;
-void (X86APIP sys_outw) (X86EMU_pioAddr addr, u16 val) = p_outw;
-void (X86APIP sys_outl) (X86EMU_pioAddr addr, u32 val) = p_outl;
-
-/*----------------------------- Setup -------------------------------------*/
-
-/****************************************************************************
-PARAMETERS:
-funcs  - New memory function pointers to make active
-
-REMARKS:
-This function is used to set the pointers to functions which access
-memory space, allowing the user application to override these functions
-and hook them out as necessary for their application.
-****************************************************************************/
-void X86EMU_setupMemFuncs(X86EMU_memFuncs * funcs)
-{
-       sys_rdb = funcs->rdb;
-       sys_rdw = funcs->rdw;
-       sys_rdl = funcs->rdl;
-       sys_wrb = funcs->wrb;
-       sys_wrw = funcs->wrw;
-       sys_wrl = funcs->wrl;
-}
-
-/****************************************************************************
-PARAMETERS:
-funcs  - New programmed I/O function pointers to make active
-
-REMARKS:
-This function is used to set the pointers to functions which access
-I/O space, allowing the user application to override these functions
-and hook them out as necessary for their application.
-****************************************************************************/
-void X86EMU_setupPioFuncs(X86EMU_pioFuncs * funcs)
-{
-       sys_inb = funcs->inb;
-       sys_inw = funcs->inw;
-       sys_inl = funcs->inl;
-       sys_outb = funcs->outb;
-       sys_outw = funcs->outw;
-       sys_outl = funcs->outl;
-}
-
-/****************************************************************************
-PARAMETERS:
-funcs  - New interrupt vector table to make active
-
-REMARKS:
-This function is used to set the pointers to functions which handle
-interrupt processing in the emulator, allowing the user application to
-hook interrupts as necessary for their application. Any interrupts that
-are not hooked by the user application, and reflected and handled internally
-in the emulator via the interrupt vector table. This allows the application
-to get control when the code being emulated executes specific software
-interrupts.
-****************************************************************************/
-void X86EMU_setupIntrFuncs(X86EMU_intrFuncs funcs[])
-{
-       int i;
-
-       for (i = 0; i < 256; i++)
-               _X86EMU_intrTab[i] = NULL;
-       if (funcs) {
-               for (i = 0; i < 256; i++)
-                       _X86EMU_intrTab[i] = funcs[i];
-       }
-}
-
-/****************************************************************************
-PARAMETERS:
-int    - New software interrupt to prepare for
-
-REMARKS:
-This function is used to set up the emulator state to exceute a software
-interrupt. This can be used by the user application code to allow an
-interrupt to be hooked, examined and then reflected back to the emulator
-so that the code in the emulator will continue processing the software
-interrupt as per normal. This essentially allows system code to actively
-hook and handle certain software interrupts as necessary.
-****************************************************************************/
-void X86EMU_prepareForInt(int num)
-{
-       push_word((u16) M.x86.R_FLG);
-       CLEAR_FLAG(F_IF);
-       CLEAR_FLAG(F_TF);
-       push_word(M.x86.R_CS);
-       M.x86.R_CS = mem_access_word(num * 4 + 2);
-       push_word(M.x86.R_IP);
-       M.x86.R_IP = mem_access_word(num * 4);
-       M.x86.intr = 0;
-}
-
-void X86EMU_setMemBase(void *base, size_t size)
-{
-       M.mem_base = (unsigned long) base;
-       M.mem_size = size;
-}
diff --git a/util/x86emu/x86emu/x86emui.h b/util/x86emu/x86emu/x86emui.h
deleted file mode 100644 (file)
index d693e33..0000000
+++ /dev/null
@@ -1,103 +0,0 @@
-/****************************************************************************
-*
-*                                              Realmode X86 Emulator Library
-*
-*              Copyright (C) 1996-1999 SciTech Software, Inc.
-*                                   Copyright (C) David Mosberger-Tang
-*                                         Copyright (C) 1999 Egbert Eich
-*
-*  ========================================================================
-*
-*  Permission to use, copy, modify, distribute, and sell this software and
-*  its documentation for any purpose is hereby granted without fee,
-*  provided that the above copyright notice appear in all copies and that
-*  both that copyright notice and this permission notice appear in
-*  supporting documentation, and that the name of the authors not be used
-*  in advertising or publicity pertaining to distribution of the software
-*  without specific, written prior permission.  The authors makes no
-*  representations about the suitability of this software for any purpose.
-*  It is provided "as is" without express or implied warranty.
-*
-*  THE AUTHORS DISCLAIMS ALL WARRANTIES WITH REGARD TO THIS SOFTWARE,
-*  INCLUDING ALL IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS, IN NO
-*  EVENT SHALL THE AUTHORS BE LIABLE FOR ANY SPECIAL, INDIRECT OR
-*  CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER RESULTING FROM LOSS OF
-*  USE, DATA OR PROFITS, WHETHER IN AN ACTION OF CONTRACT, NEGLIGENCE OR
-*  OTHER TORTIOUS ACTION, ARISING OUT OF OR IN CONNECTION WITH THE USE OR
-*  PERFORMANCE OF THIS SOFTWARE.
-*
-*  ========================================================================
-*
-* Language:            ANSI C
-* Environment: Any
-* Developer:    Kendall Bennett
-*
-* Description:  Header file for system specific functions. These functions
-*                              are always compiled and linked in the OS depedent libraries,
-*                              and never in a binary portable driver.
-*
-****************************************************************************/
-
-/* $XFree86: xc/extras/x86emu/src/x86emu/x86emu/x86emui.h,v 1.4 2001/04/01 13:59:58 tsi Exp $ */
-
-#ifndef __X86EMU_X86EMUI_H
-#define __X86EMU_X86EMUI_H
-
-/* If we are compiling in C++ mode, we can compile some functions as
- * inline to increase performance (however the code size increases quite
- * dramatically in this case).
- */
-
-#if    defined(__cplusplus) && !defined(_NO_INLINE)
-#define        _INLINE inline
-#else
-#define        _INLINE static
-#endif
-
-/* Get rid of unused parameters in C++ compilation mode */
-
-#ifdef __cplusplus
-#define        X86EMU_UNUSED(v)
-#else
-#define        X86EMU_UNUSED(v)        v
-#endif
-
-#include "x86emu/x86emu.h"
-#include "x86emu/regs.h"
-#include "debug.h"
-#include "decode.h"
-#include "ops.h"
-#include "prim_ops.h"
-#include "fpu.h"
-#include "x86emu/fpu_regs.h"
-
-#ifdef IN_MODULE
-#include <xf86_ansic.h>
-#else
-#include <string.h>
-#endif                                                                                           
-/*--------------------------- Inline Functions ----------------------------*/
-
-#ifdef  __cplusplus
-extern "C" {                                   /* Use "C" linkage when in C++ mode */
-#endif
-
-extern u8      (X86APIP sys_rdb)(u32 addr);
-extern u16     (X86APIP sys_rdw)(u32 addr);
-extern u32     (X86APIP sys_rdl)(u32 addr);
-extern void (X86APIP sys_wrb)(u32 addr,u8 val);
-extern void (X86APIP sys_wrw)(u32 addr,u16 val);
-extern void (X86APIP sys_wrl)(u32 addr,u32 val);
-
-extern u8      (X86APIP sys_inb)(X86EMU_pioAddr addr);
-extern u16     (X86APIP sys_inw)(X86EMU_pioAddr addr);
-extern u32     (X86APIP sys_inl)(X86EMU_pioAddr addr);
-extern void (X86APIP sys_outb)(X86EMU_pioAddr addr,u8 val);
-extern void (X86APIP sys_outw)(X86EMU_pioAddr addr,u16 val);
-extern void    (X86APIP sys_outl)(X86EMU_pioAddr addr,u32 val);
-
-#ifdef  __cplusplus
-}                                              /* End of "C" linkage for C++           */
-#endif
-
-#endif /* __X86EMU_X86EMUI_H */
diff --git a/util/x86emu/yabel/Makefile.inc b/util/x86emu/yabel/Makefile.inc
deleted file mode 100644 (file)
index f89de9b..0000000
+++ /dev/null
@@ -1,9 +0,0 @@
-obj-y += biosemu.o
-obj-y += debug.o
-obj-y += device.o
-obj-y += interrupt.o
-obj-y += io.o
-obj-y += mem.o
-obj-y += pmm.o
-obj-y += vbe.o
-subdirs-y += compat
diff --git a/util/x86emu/yabel/biosemu.c b/util/x86emu/yabel/biosemu.c
deleted file mode 100644 (file)
index 294d81f..0000000
+++ /dev/null
@@ -1,386 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * Copyright (c) 2008, 2009 Pattrick Hueper <phueper@hueper.net>
- * Copyright (c) 2010 coresystems GmbH
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-#include <string.h>
-#include <types.h>
-
-#include "debug.h"
-
-#include <x86emu/x86emu.h>
-#include <x86emu/regs.h>
-#include "../x86emu/prim_ops.h"
-
-#include "biosemu.h"
-#include "io.h"
-#include "mem.h"
-#include "interrupt.h"
-#include "device.h"
-#include "pmm.h"
-
-#include <device/device.h>
-#include "compat/rtas.h"
-
-static X86EMU_memFuncs my_mem_funcs = {
-       my_rdb, my_rdw, my_rdl,
-       my_wrb, my_wrw, my_wrl
-};
-
-static X86EMU_pioFuncs my_pio_funcs = {
-       my_inb, my_inw, my_inl,
-       my_outb, my_outw, my_outl
-};
-
-/* interrupt function override array (see biosemu.h) */
-yabel_handleIntFunc yabel_intFuncArray[256];
-
-/* main entry into YABEL biosemu, arguments are:
- * *biosmem = pointer to virtual memory
- * biosmem_size = size of the virtual memory
- * *dev = pointer to the device to be initialised
- * rom_addr = address of the OptionROM to be executed, if this is = 0, YABEL
- *     will look for an ExpansionROM BAR and use the code from there.
- */
-u32
-biosemu(u8 *biosmem, u32 biosmem_size, struct device * dev, unsigned long rom_addr)
-{
-       u8 *rom_image;
-       int i = 0;
-#if CONFIG_X86EMU_DEBUG
-       debug_flags = 0;
-#if defined(CONFIG_X86EMU_DEBUG_JMP) && CONFIG_X86EMU_DEBUG_JMP
-       debug_flags |= DEBUG_JMP;
-#endif
-#if defined(CONFIG_X86EMU_DEBUG_TRACE) && CONFIG_X86EMU_DEBUG_TRACE
-       debug_flags |= DEBUG_TRACE_X86EMU;
-#endif
-#if defined(CONFIG_X86EMU_DEBUG_PNP) && CONFIG_X86EMU_DEBUG_PNP
-       debug_flags |= DEBUG_PNP;
-#endif
-#if defined(CONFIG_X86EMU_DEBUG_DISK) && CONFIG_X86EMU_DEBUG_DISK
-       debug_flags |= DEBUG_DISK;
-#endif
-#if defined(CONFIG_X86EMU_DEBUG_PMM) && CONFIG_X86EMU_DEBUG_PMM
-       debug_flags |= DEBUG_PMM;
-#endif
-#if defined(CONFIG_X86EMU_DEBUG_VBE) && CONFIG_X86EMU_DEBUG_VBE
-       debug_flags |= DEBUG_VBE;
-#endif
-#if defined(CONFIG_X86EMU_DEBUG_INT10) && CONFIG_X86EMU_DEBUG_INT10
-       debug_flags |= DEBUG_PRINT_INT10;
-#endif
-#if defined(CONFIG_X86EMU_DEBUG_INTERRUPTS) && CONFIG_X86EMU_DEBUG_INTERRUPTS
-       debug_flags |= DEBUG_INTR;
-#endif
-#if defined(CONFIG_X86EMU_DEBUG_CHECK_VMEM_ACCESS) && CONFIG_X86EMU_DEBUG_CHECK_VMEM_ACCESS
-       debug_flags |= DEBUG_CHECK_VMEM_ACCESS;
-#endif
-#if defined(CONFIG_X86EMU_DEBUG_MEM) && CONFIG_X86EMU_DEBUG_MEM
-       debug_flags |= DEBUG_MEM;
-#endif
-#if defined(CONFIG_X86EMU_DEBUG_IO) && CONFIG_X86EMU_DEBUG_IO
-       debug_flags |= DEBUG_IO;
-#endif
-
-#endif
-       if (biosmem_size < MIN_REQUIRED_VMEM_SIZE) {
-               printf("Error: Not enough virtual memory: %x, required: %x!\n",
-                      biosmem_size, MIN_REQUIRED_VMEM_SIZE);
-               return -1;
-       }
-       if (biosemu_dev_init(dev) != 0) {
-               printf("Error initializing device!\n");
-               return -1;
-       }
-       if (biosemu_dev_check_exprom(rom_addr) != 0) {
-               printf("Error: Device Expansion ROM invalid!\n");
-               return -1;
-       }
-       rom_image = (u8 *) bios_device.img_addr;
-       DEBUG_PRINTF("executing rom_image from %p\n", rom_image);
-       DEBUG_PRINTF("biosmem at %p\n", biosmem);
-
-       DEBUG_PRINTF("Image Size: %d\n", bios_device.img_size);
-
-       // in case we jump somewhere unexpected, or execution is finished,
-       // fill the biosmem with hlt instructions (0xf4)
-       // But we have to be careful: If biosmem is 0x00000000 we're running
-       // in the lower 1MB and we must not wipe memory like that.
-       if (biosmem) {
-               DEBUG_PRINTF("Clearing biosmem\n");
-               memset(biosmem, 0xf4, biosmem_size);
-       }
-
-       X86EMU_setMemBase(biosmem, biosmem_size);
-
-       DEBUG_PRINTF("membase set: %08x, size: %08x\n", (int) M.mem_base,
-                    (int) M.mem_size);
-
-       // copy expansion ROM image to segment OPTION_ROM_CODE_SEGMENT
-       // NOTE: this sometimes fails, some bytes are 0x00... so we compare
-       // after copying and do some retries...
-       u8 *mem_img = biosmem + (OPTION_ROM_CODE_SEGMENT << 4);
-       u8 copy_count = 0;
-       u8 cmp_result = 0;
-       do {
-#if 0
-               set_ci();
-               memcpy(mem_img, rom_image, len);
-               clr_ci();
-#else
-               // memcpy fails... try copy byte-by-byte with set/clr_ci
-               u8 c;
-               for (i = 0; i < bios_device.img_size; i++) {
-                       set_ci();
-                       c = *(rom_image + i);
-                       if (c != *(rom_image + i)) {
-                               clr_ci();
-                               printf("Copy failed at: %x/%x\n", i,
-                                      bios_device.img_size);
-                               printf("rom_image(%x): %x, mem_img(%x): %x\n",
-                                      i, *(rom_image + i), i, *(mem_img + i));
-                               break;
-                       }
-                       clr_ci();
-                       *(mem_img + i) = c;
-               }
-#endif
-               copy_count++;
-               set_ci();
-               cmp_result = memcmp(mem_img, rom_image, bios_device.img_size);
-               clr_ci();
-       }
-       while ((copy_count < 5) && (cmp_result != 0));
-       if (cmp_result != 0) {
-               printf
-                   ("\nCopying Expansion ROM Image to Memory failed after %d retries! (%x)\n",
-                    copy_count, cmp_result);
-               dump(rom_image, 0x20);
-               dump(mem_img, 0x20);
-               return 0;
-       }
-       // setup default Interrupt Vectors
-       // some expansion ROMs seem to check for these addresses..
-       // each handler is only an IRET (0xCF) instruction
-       // ROM BIOS Int 10 Handler F000:F065
-       my_wrl(0x10 * 4, 0xf000f065);
-       my_wrb(0x000ff065, 0xcf);
-       // ROM BIOS Int 11 Handler F000:F84D
-       my_wrl(0x11 * 4, 0xf000f84d);
-       my_wrb(0x000ff84d, 0xcf);
-       // ROM BIOS Int 12 Handler F000:F841
-       my_wrl(0x12 * 4, 0xf000f841);
-       my_wrb(0x000ff841, 0xcf);
-       // ROM BIOS Int 13 Handler F000:EC59
-       my_wrl(0x13 * 4, 0xf000ec59);
-       my_wrb(0x000fec59, 0xcf);
-       // ROM BIOS Int 14 Handler F000:E739
-       my_wrl(0x14 * 4, 0xf000e739);
-       my_wrb(0x000fe739, 0xcf);
-       // ROM BIOS Int 15 Handler F000:F859
-       my_wrl(0x15 * 4, 0xf000f859);
-       my_wrb(0x000ff859, 0xcf);
-       // ROM BIOS Int 16 Handler F000:E82E
-       my_wrl(0x16 * 4, 0xf000e82e);
-       my_wrb(0x000fe82e, 0xcf);
-       // ROM BIOS Int 17 Handler F000:EFD2
-       my_wrl(0x17 * 4, 0xf000efd2);
-       my_wrb(0x000fefd2, 0xcf);
-       // ROM BIOS Int 1A Handler F000:FE6E
-       my_wrl(0x1a * 4, 0xf000fe6e);
-       my_wrb(0x000ffe6e, 0xcf);
-
-       // setup BIOS Data Area (0000:04xx, or 0040:00xx)
-       // we currently 0 this area, meaning "we dont have
-       // any hardware" :-) no serial/parallel ports, floppys, ...
-       memset(biosmem + 0x400, 0x0, 0x100);
-
-       // at offset 13h in BDA is the memory size in kbytes
-       my_wrw(0x413, biosmem_size / 1024);
-       // at offset 0eh in BDA is the segment of the Extended BIOS Data Area
-       // see setup further down
-       my_wrw(0x40e, INITIAL_EBDA_SEGMENT);
-       // TODO: setup BDA Video Data ( offset 49h-66h)
-       // e.g. to store video mode, cursor position, ...
-       // in int10 (done) handler and VBE Functions
-
-       // TODO: setup BDA Fixed Disk Data
-       // 74h: Fixed Disk Last Operation Status
-       // 75h: Fixed Disk Number of Disk Drives
-
-       // TODO: check BDA for further needed data...
-
-       //setup Extended BIOS Data Area
-       //we currently 0 this area
-       memset(biosmem + (INITIAL_EBDA_SEGMENT << 4), 0, INITIAL_EBDA_SIZE);
-       // at offset 0h in EBDA is the size of the EBDA in KB
-       my_wrw((INITIAL_EBDA_SEGMENT << 4) + 0x0, INITIAL_EBDA_SIZE / 1024);
-       //TODO: check for further needed EBDA data...
-
-       // setup  original ROM BIOS Area (F000:xxxx)
-       const char *date = "06/11/99";
-       for (i = 0; date[i]; i++)
-               my_wrb(0xffff5 + i, date[i]);
-       // set up eisa ident string
-       const char *ident = "PCI_ISA";
-       for (i = 0; ident[i]; i++)
-               my_wrb(0xfffd9 + i, ident[i]);
-
-       // write system model id for IBM-AT
-       // according to "Ralf Browns Interrupt List" Int15 AH=C0 Table 515,
-       // model FC is the original AT and also used in all DOSEMU Versions.
-       my_wrb(0xFFFFE, 0xfc);
-
-       //setup interrupt handler
-       X86EMU_intrFuncs intrFuncs[256];
-       for (i = 0; i < 256; i++)
-               intrFuncs[i] = handleInterrupt;
-       X86EMU_setupIntrFuncs(intrFuncs);
-       X86EMU_setupPioFuncs(&my_pio_funcs);
-       X86EMU_setupMemFuncs(&my_mem_funcs);
-
-       //setup PMM struct in BIOS_DATA_SEGMENT, offset 0x0
-       u8 pmm_length = pmm_setup(BIOS_DATA_SEGMENT, 0x0);      
-       if (pmm_length <= 0) {
-               printf ("\nYABEL: Warning: PMM Area could not be setup. PMM not available (%x)\n",
-                    pmm_length);
-               return 0;
-       } else {
-               CHECK_DBG(DEBUG_PMM) {
-                       /* test the PMM */
-                       pmm_test();
-                       /* and clean it again by calling pmm_setup... */
-                       pmm_length = pmm_setup(BIOS_DATA_SEGMENT, 0x0);
-               }
-       }
-       // setup the CPU
-       M.x86.R_AH = bios_device.bus;
-       M.x86.R_AL = bios_device.devfn;
-       M.x86.R_DX = 0x80;
-       M.x86.R_EIP = 3;
-       M.x86.R_CS = OPTION_ROM_CODE_SEGMENT;
-
-       // Initialize stack and data segment
-       M.x86.R_SS = STACK_SEGMENT;
-       M.x86.R_SP = STACK_START_OFFSET;
-       M.x86.R_DS = DATA_SEGMENT;
-
-       // push a HLT instruction and a pointer to it onto the stack
-       // any return will pop the pointer and jump to the HLT, thus
-       // exiting (more or less) cleanly
-       push_word(0xf4f4);      // F4=HLT
-       push_word(M.x86.R_SS);
-       push_word(M.x86.R_SP + 2);
-
-       CHECK_DBG(DEBUG_TRACE_X86EMU) {
-               X86EMU_trace_on();
-#if 0
-       } else {
-               M.x86.debug |= DEBUG_SAVE_IP_CS_F;
-               M.x86.debug |= DEBUG_DECODE_F;
-               M.x86.debug |= DEBUG_DECODE_NOPRINT_F;
-#endif
-       }
-       CHECK_DBG(DEBUG_JMP) {
-               M.x86.debug |= DEBUG_TRACEJMP_F;
-               M.x86.debug |= DEBUG_TRACEJMP_REGS_F;
-               M.x86.debug |= DEBUG_TRACECALL_F;
-               M.x86.debug |= DEBUG_TRACECALL_REGS_F;
-       }
-
-       DEBUG_PRINTF("Executing Initialization Vector...\n");
-       X86EMU_exec();
-       DEBUG_PRINTF("done\n");
-
-       /* According to the PNP BIOS Spec, Option ROMs should upon exit, return
-        * some boot device status in AX (see PNP BIOS Spec Section 3.3
-        */
-       DEBUG_PRINTF_CS_IP("Option ROM Exit Status: %04x\n", M.x86.R_AX);
-#if defined(CONFIG_X86EMU_DEBUG) && CONFIG_X86EMU_DEBUG
-       DEBUG_PRINTF("Exit Status Decode:\n");
-       if (M.x86.R_AX & 0x100) {       // bit 8
-               DEBUG_PRINTF
-                   ("  IPL Device supporting INT 13h Block Device Format:\n");
-               switch (((M.x86.R_AX >> 4) & 0x3)) {    // bits 5:4
-               case 0:
-                       DEBUG_PRINTF("    No IPL Device attached\n");
-                       break;
-               case 1:
-                       DEBUG_PRINTF("    IPL Device status unknown\n");
-                       break;
-               case 2:
-                       DEBUG_PRINTF("    IPL Device attached\n");
-                       break;
-               case 3:
-                       DEBUG_PRINTF("    IPL Device status RESERVED!!\n");
-                       break;
-               }
-       }
-       if (M.x86.R_AX & 0x80) {        // bit 7
-               DEBUG_PRINTF
-                   ("  Output Device supporting INT 10h Character Output:\n");
-               switch (((M.x86.R_AX >> 4) & 0x3)) {    // bits 5:4
-               case 0:
-                       DEBUG_PRINTF("    No Display Device attached\n");
-                       break;
-               case 1:
-                       DEBUG_PRINTF("    Display Device status unknown\n");
-                       break;
-               case 2:
-                       DEBUG_PRINTF("    Display Device attached\n");
-                       break;
-               case 3:
-                       DEBUG_PRINTF("    Display Device status RESERVED!!\n");
-                       break;
-               }
-       }
-       if (M.x86.R_AX & 0x40) {        // bit 6
-               DEBUG_PRINTF
-                   ("  Input Device supporting INT 9h Character Input:\n");
-               switch (((M.x86.R_AX >> 4) & 0x3)) {    // bits 5:4
-               case 0:
-                       DEBUG_PRINTF("    No Input Device attached\n");
-                       break;
-               case 1:
-                       DEBUG_PRINTF("    Input Device status unknown\n");
-                       break;
-               case 2:
-                       DEBUG_PRINTF("    Input Device attached\n");
-                       break;
-               case 3:
-                       DEBUG_PRINTF("    Input Device status RESERVED!!\n");
-                       break;
-               }
-       }
-#endif
-       /* Check whether the stack is "clean" i.e. containing the HLT
-        * instruction we pushed before executing and pointing to the original
-        * stack address... indicating that the initialization probably was
-        * successful
-        */
-       if ((pop_word() == 0xf4f4) && (M.x86.R_SS == STACK_SEGMENT)
-           && (M.x86.R_SP == STACK_START_OFFSET)) {
-               DEBUG_PRINTF("Stack is clean, initialization successfull!\n");
-       } else {
-               printf("Stack unclean, initialization probably NOT COMPLETE!\n");
-               DEBUG_PRINTF("SS:SP = %04x:%04x, expected: %04x:%04x\n",
-                            M.x86.R_SS, M.x86.R_SP, STACK_SEGMENT,
-                            STACK_START_OFFSET);
-       }
-
-       // TODO: according to the BIOS Boot Spec initializations may be ended using INT18h and setting
-       // the status.
-       // We need to implement INT18 accordingly, pseudo code is in specsbbs101.pdf page 30
-       // (also for Int19)
-       return 0;
-}
diff --git a/util/x86emu/yabel/biosemu.h b/util/x86emu/yabel/biosemu.h
deleted file mode 100644 (file)
index 09ace72..0000000
+++ /dev/null
@@ -1,52 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * Copyright (c) 2009 Pattrick Hueper <phueper@hueper.net>
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-#ifndef _BIOSEMU_BIOSEMU_H_
-#define _BIOSEMU_BIOSEMU_H_
-
-#define MIN_REQUIRED_VMEM_SIZE 0x100000        // 1MB
-
-//define default segments for different components
-#define STACK_SEGMENT 0x1000   //1000:xxxx
-#define STACK_START_OFFSET 0xfffe
-
-#define DATA_SEGMENT 0x2000
-#define VBE_SEGMENT 0x3000
-
-#define PMM_CONV_SEGMENT 0x4000        // 4000:xxxx is PMM conventional memory area, extended memory area
-                               // will be anything beyound MIN_REQUIRED_MEMORY_SIZE
-#define PNP_DATA_SEGMENT 0x5000
-
-#define OPTION_ROM_CODE_SEGMENT 0xc000
-
-#define BIOS_DATA_SEGMENT 0xF000
-// both EBDA values are _initial_ values, they may (and will be) changed at runtime by option ROMs!!
-#define INITIAL_EBDA_SEGMENT 0xF600    // segment of the Extended BIOS Data Area
-#define INITIAL_EBDA_SIZE 0x400        // size of the EBDA (at least 1KB!! since size is stored in KB!)
-
-#define PMM_INT_NUM 0xFC       // we misuse INT FC for PMM functionality, at the PMM Entry Point
-                               // Address, there will only be a call to this INT and a RETF
-#define PNP_INT_NUM 0xFD
-
-/* array of funtion pointers to override generic interrupt handlers 
- * a YABEL caller can add functions to this array before calling YABEL
- * if a interrupt occurs, YABEL checks wether a function is set in
- * this array and only runs the generic interrupt handler code, if
- * the function pointer is NULL */
-typedef int (* yabel_handleIntFunc)(void);
-extern yabel_handleIntFunc yabel_intFuncArray[256];
-
-struct device;
-
-u32 biosemu(u8 *biosmem, u32 biosmem_size, struct device *dev, unsigned long rom_addr);
-#endif
diff --git a/util/x86emu/yabel/compat/Makefile.inc b/util/x86emu/yabel/compat/Makefile.inc
deleted file mode 100644 (file)
index 00080f5..0000000
+++ /dev/null
@@ -1 +0,0 @@
-obj-y += functions.o
diff --git a/util/x86emu/yabel/compat/functions.c b/util/x86emu/yabel/compat/functions.c
deleted file mode 100644 (file)
index 6367fb0..0000000
+++ /dev/null
@@ -1,69 +0,0 @@
-/****************************************************************************
- * YABEL BIOS Emulator
- *
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Copyright (c) 2008 Pattrick Hueper <phueper@hueper.net>
- ****************************************************************************/
-
-/* this file contains functions provided by SLOF, that the current biosemu implementation needs
- * they should go away  inthe future...
- */
-
-#include <types.h>
-#include <string.h>
-#include <device/device.h>
-#include "../debug.h"
-#include "../biosemu.h"
-#include "../compat/time.h"
-
-#define VMEM_SIZE (1024 * 1024) /* 1 MB */
-
-#if !defined(CONFIG_YABEL_DIRECTHW) || (!CONFIG_YABEL_DIRECTHW)
-#ifdef CONFIG_YABEL_VIRTMEM_LOCATION
-u8* vmem = (u8 *) CONFIG_YABEL_VIRTMEM_LOCATION;
-#else
-u8* vmem = (u8 *) (16*1024*1024); /* default to 16MB */
-#endif
-#else
-u8* vmem = NULL;
-#endif
-
-#if CONFIG_BOOTSPLASH
-void vbe_set_graphics(void);
-#endif
-
-void run_bios(struct device * dev, unsigned long addr)
-{
-
-       biosemu(vmem, VMEM_SIZE, dev, addr);
-
-#if CONFIG_BOOTSPLASH
-       vbe_set_graphics();
-#endif
-
-       if (vmem != NULL) {
-               printf("Copying legacy memory from %p to the lower 1MB\n", vmem);
-               memcpy((void *)0x00000, vmem + 0x00000, 0x400);         // IVT
-               memcpy((void *)0x00400, vmem + 0x00400, 0x100);         // BDA
-               memcpy((void *)0xc0000, vmem + 0xc0000, 0x10000);       // VGA OPROM
-       }
-}
-
-unsigned long tb_freq = 0;
-
-u64 get_time(void)
-{
-    u64 act;
-    u32 eax, edx;
-
-    __asm__ __volatile__(
-       "rdtsc"
-        : "=a"(eax), "=d"(edx)
-        : /* no inputs, no clobber */);
-    act = ((u64) edx << 32) | eax; 
-    return act;
-}
diff --git a/util/x86emu/yabel/compat/of.h b/util/x86emu/yabel/compat/of.h
deleted file mode 100644 (file)
index 9071399..0000000
+++ /dev/null
@@ -1,55 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-
-#ifndef OF_H
-#define OF_H
-#define p32 int
-#define p32cast (int) (unsigned long) (void*)
-
-#define phandle_t p32
-#define ihandle_t p32
-
-typedef struct 
-{
-    unsigned int serv;
-    int nargs;
-    int nrets;
-    unsigned int args[16];
-} of_arg_t;
-
-
-phandle_t of_finddevice (const char *);
-phandle_t of_peer (phandle_t);
-phandle_t of_child (phandle_t);
-phandle_t of_parent (phandle_t);
-int of_getprop (phandle_t, const char *, void *, int);
-void * of_call_method_3 (const char *, ihandle_t, int);
-
-
-ihandle_t of_open (const char *);
-void of_close(ihandle_t);
-int of_read (ihandle_t , void*, int);
-int of_write (ihandle_t, void*, int);
-int of_seek (ihandle_t, int, int);
-
-void * of_claim(void *, unsigned int , unsigned int );
-void of_release(void *, unsigned int );
-
-int of_yield(void);
-void * of_set_callback(void *);
-
-int vpd_read(unsigned int , unsigned int , char *);
-int vpd_write(unsigned int , unsigned int , char *);
-int write_mm_log(char *, unsigned int , unsigned short );
-
-#endif
diff --git a/util/x86emu/yabel/compat/rtas.h b/util/x86emu/yabel/compat/rtas.h
deleted file mode 100644 (file)
index 25cabf4..0000000
+++ /dev/null
@@ -1,45 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-
-#ifndef RTAS_H
-#define RTAS_H
-
-#include "of.h"
-
-typedef struct dtime {
-        unsigned int year;
-        unsigned int month;
-        unsigned int day;
-        unsigned int hour;
-        unsigned int minute;
-        unsigned int second;
-        unsigned int nano;
-} dtime;
-
-typedef void (*thread_t) (int);
-
-int rtas_token(const char *);
-int rtas_call(int, int, int, int *, ...);
-void rtas_init(void);
-int rtas_pci_config_read (long long, int, int, int, int);
-int rtas_pci_config_write (long long, int, int, int, int, int);
-int rtas_set_time_of_day(dtime *);
-int rtas_get_time_of_day(dtime *);
-int rtas_ibm_update_flash_64(long long, long long);
-int rtas_ibm_update_flash_64_and_reboot(long long, long long);
-int rtas_system_reboot(void);
-int rtas_start_cpu (int, thread_t, int);
-int rtas_stop_self (void);
-int rtas_ibm_manage_flash(int);
-
-#endif
diff --git a/util/x86emu/yabel/compat/time.h b/util/x86emu/yabel/compat/time.h
deleted file mode 100644 (file)
index 6f7099b..0000000
+++ /dev/null
@@ -1,18 +0,0 @@
-/****************************************************************************
- * YABEL BIOS Emulator
- *
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Copyright (c) 2008 Pattrick Hueper <phueper@hueper.net>
- ****************************************************************************/
-
-#ifndef _BIOSEMU_COMPAT_TIME_H
-#define _BIOSEMU_COMPAT_TIME_H
-
-/* TODO: check how this works in x86 */
-extern unsigned long tb_freq;
-u64 get_time(void);
-#endif 
diff --git a/util/x86emu/yabel/debug.c b/util/x86emu/yabel/debug.c
deleted file mode 100644 (file)
index 7cda8af..0000000
+++ /dev/null
@@ -1,54 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * Copyright (c) 2008, 2009 Pattrick Hueper <phueper@hueper.net>
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-#include "debug.h"
-
-u32 debug_flags = 0;
-
-void
-dump(u8 * addr, u32 len)
-{
-       printf("\n%s(%p, %x):\n", __func__, addr, len);
-       while (len) {
-               unsigned int tmpCnt = len;
-               unsigned char x;
-               if (tmpCnt > 8)
-                       tmpCnt = 8;
-               printf("\n%p: ", addr);
-               // print hex
-               while (tmpCnt--) {
-                       set_ci();
-                       x = *addr++;
-                       clr_ci();
-                       printf("%02x ", x);
-               }
-               tmpCnt = len;
-               if (tmpCnt > 8)
-                       tmpCnt = 8;
-               len -= tmpCnt;
-               //reset addr ptr to print ascii
-               addr = addr - tmpCnt;
-               // print ascii
-               while (tmpCnt--) {
-                       set_ci();
-                       x = *addr++;
-                       clr_ci();
-                       if ((x < 32) || (x >= 127)) {
-                               //non-printable char
-                               x = '.';
-                       }
-                       printf("%c", x);
-               }
-       }
-       printf("\n");
-}
diff --git a/util/x86emu/yabel/debug.h b/util/x86emu/yabel/debug.h
deleted file mode 100644 (file)
index d029308..0000000
+++ /dev/null
@@ -1,105 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * Copyright (c) 2009 Pattrick Hueper <phueper@hueper.net>
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-#ifndef _BIOSEMU_DEBUG_H_
-#define _BIOSEMU_DEBUG_H_
-
-#include <types.h>
-
-extern u32 debug_flags;
-// from x86emu...needed for debugging
-extern void x86emu_dump_xregs(void);
-
-/* printf is not available in coreboot... use printk */
-#include <console/console.h>
-#include "x86emu/x86emu.h"
-#define printf(x...) printk(BIOS_DEBUG, x)
-
-/* PH: empty versions of set/clr_ci
- * TODO: remove! */
-static inline void clr_ci(void) {};
-static inline void set_ci(void) {};
-
-/* debug_flags is a binary switch that allows you to select the following items
- * to debug. 1=on 0=off. After you decide what you want to debug create the
- * binary value, convert to hex and set the option. These options can be
- * selected in Kconfig.
- *
- * |-DEBUG_JMP - print info about JMP and RETF opcodes from x86emu
- * ||-DEBUG_TRACE_X86EMU - print _all_ opcodes that are executed by x86emu (WARNING: this will produce a LOT of output)
- * |||-Currently unused
- * ||||-Currently unused
- * |||||-Currently unused
- * ||||||-DEBUG_PNP - Print Plug And Play access made by option rom 
- * |||||||-DEBUG_DISK - Print Disk I/O related messages, currently unused
- * ||||||||-DEBUG_PMM - Print messages related to POST Memory Manager (PMM)
- * |||||||||-DEBUG_VBE - Print messages related to VESA BIOS Extension (VBE) functions
- * ||||||||||-DEBUG_PRINT_INT10 - let INT10 (i.e. character output) calls print messages to Debug output
- * |||||||||||-DEBUG_INTR - Print messages related to interrupt handling
- * ||||||||||||-DEBUG_CHECK_VMEM_ACCESS - Print messages related to accesse to certain areas of the virtual Memory (e.g. BDA (BIOS Data Area) or Interrupt Vectors)
- * |||||||||||||-DEBUG_MEM - Print memory access made by option rom (NOTE: this also includes accesses to fetch instructions)
- * ||||||||||||||-DEBUG_IO - Print I/O access made by option rom 
- * 11000111111111 - Max Binary Value, Debug All (WARNING: - This could run for hours)
- */
-
-#define DEBUG_IO 0x1
-#define DEBUG_MEM 0x2
-// set this to print messages for certain virtual memory accesses (Interrupt Vectors, ...)
-#define DEBUG_CHECK_VMEM_ACCESS 0x4
-#define DEBUG_INTR 0x8
-#define DEBUG_PRINT_INT10 0x10 // set to have the INT10 routine print characters
-#define DEBUG_VBE 0x20
-#define DEBUG_PMM 0x40
-#define DEBUG_DISK 0x80
-#define DEBUG_PNP 0x100
-
-#define DEBUG_TRACE_X86EMU 0x1000
-// set to enable tracing of JMPs in x86emu
-#define DEBUG_JMP 0x2000
-
-#if defined(CONFIG_X86EMU_DEBUG) && CONFIG_X86EMU_DEBUG
-
-#define CHECK_DBG(_flag) if (debug_flags & _flag)
-
-#define DEBUG_PRINTF(_x...) printf(_x);
-// prints the CS:IP before the printout, NOTE: actually its CS:IP of the _next_ instruction
-// to be executed, since the x86emu advances CS:IP _before_ actually executing an instruction
-#define DEBUG_PRINTF_CS_IP(_x...) DEBUG_PRINTF("%x:%x ", M.x86.R_CS, M.x86.R_IP); DEBUG_PRINTF(_x);
-
-#define DEBUG_PRINTF_IO(_x...) CHECK_DBG(DEBUG_IO) { DEBUG_PRINTF_CS_IP(_x) }
-#define DEBUG_PRINTF_MEM(_x...) CHECK_DBG(DEBUG_MEM) { DEBUG_PRINTF_CS_IP(_x) }
-#define DEBUG_PRINTF_INTR(_x...) CHECK_DBG(DEBUG_INTR) { DEBUG_PRINTF_CS_IP(_x) }
-#define DEBUG_PRINTF_VBE(_x...) CHECK_DBG(DEBUG_VBE) { DEBUG_PRINTF_CS_IP(_x) }
-#define DEBUG_PRINTF_PMM(_x...) CHECK_DBG(DEBUG_PMM) { DEBUG_PRINTF_CS_IP(_x) }
-#define DEBUG_PRINTF_DISK(_x...) CHECK_DBG(DEBUG_DISK) { DEBUG_PRINTF_CS_IP(_x) }
-#define DEBUG_PRINTF_PNP(_x...) CHECK_DBG(DEBUG_PNP) { DEBUG_PRINTF_CS_IP(_x) }
-
-#else
-
-#define CHECK_DBG(_flag) if (0)
-
-#define DEBUG_PRINTF(_x...)
-#define DEBUG_PRINTF_CS_IP(_x...)
-
-#define DEBUG_PRINTF_IO(_x...)
-#define DEBUG_PRINTF_MEM(_x...)
-#define DEBUG_PRINTF_INTR(_x...)
-#define DEBUG_PRINTF_VBE(_x...)
-#define DEBUG_PRINTF_PMM(_x...)
-#define DEBUG_PRINTF_DISK(_x...)
-#define DEBUG_PRINTF_PNP(_x...)
-
-#endif                         //DEBUG
-
-void dump(u8 * addr, u32 len);
-
-#endif
diff --git a/util/x86emu/yabel/device.c b/util/x86emu/yabel/device.c
deleted file mode 100644 (file)
index 7e71a45..0000000
+++ /dev/null
@@ -1,453 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * Copyright (c) 2008, 2009 Pattrick Hueper <phueper@hueper.net>
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-
-#include "device.h"
-#include "compat/rtas.h"
-#include <string.h>
-#include "debug.h"
-
-#include <device/device.h>
-#include <device/pci.h>
-#include <device/pci_ops.h>
-#include <device/resource.h>
-
-/* the device we are working with... */
-biosemu_device_t bios_device;
-//max. 6 BARs and 1 Exp.ROM plus CfgSpace and 3 legacy ranges
-translate_address_t translate_address_array[11];
-u8 taa_last_entry;
-
-typedef struct {
-       u8 info;
-       u8 bus;
-       u8 devfn;
-       u8 cfg_space_offset;
-       u64 address;
-       u64 size;
-} __attribute__ ((__packed__)) assigned_address_t;
-
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-/* coreboot version */
-
-static void
-biosemu_dev_get_addr_info(void)
-{
-       int taa_index = 0;
-       int i = 0;
-       struct resource *r;
-       u8 bus = bios_device.dev->bus->link;
-       u16 devfn = bios_device.dev->path.pci.devfn;
-
-       bios_device.bus =  bus;
-       bios_device.devfn = devfn;
-
-       DEBUG_PRINTF("bus: %x, devfn: %x\n", bus, devfn);
-       for (i = 0; i < bios_device.dev->resources; i++) {
-               r = &bios_device.dev->resource[i];
-               translate_address_array[taa_index].info = r->flags;
-               translate_address_array[taa_index].bus = bus;
-               translate_address_array[taa_index].devfn = devfn;
-               translate_address_array[taa_index].cfg_space_offset =
-                   r->index;
-               translate_address_array[taa_index].address = r->base;
-               translate_address_array[taa_index].size = r->size;
-               /* dont translate addresses... all addresses are 1:1 */
-               translate_address_array[taa_index].address_offset = 0;
-               taa_index++;
-       }
-       /* Expansion ROM */
-       translate_address_array[taa_index].info = IORESOURCE_MEM | IORESOURCE_READONLY;
-       translate_address_array[taa_index].bus = bus;
-       translate_address_array[taa_index].devfn = devfn;
-       translate_address_array[taa_index].cfg_space_offset = 0x30;
-       translate_address_array[taa_index].address = bios_device.img_addr;
-       translate_address_array[taa_index].size = 0; /* TODO: do we need the size? */
-       /* dont translate addresses... all addresses are 1:1 */
-       translate_address_array[taa_index].address_offset = 0;
-       taa_index++;
-       /* legacy ranges if its a VGA card... */
-       if ((bios_device.dev->class & 0xFF0000) == 0x030000) {
-               DEBUG_PRINTF("%s: VGA device found, adding legacy resources... \n", __func__);
-               /* I/O 0x3B0-0x3BB */
-               translate_address_array[taa_index].info = IORESOURCE_FIXED | IORESOURCE_IO;
-               translate_address_array[taa_index].bus = bus;
-               translate_address_array[taa_index].devfn = devfn;
-               translate_address_array[taa_index].cfg_space_offset = 0;
-               translate_address_array[taa_index].address = 0x3b0;
-               translate_address_array[taa_index].size = 0xc;
-               /* dont translate addresses... all addresses are 1:1 */
-               translate_address_array[taa_index].address_offset = 0;
-               taa_index++;
-               /* I/O 0x3C0-0x3DF */
-               translate_address_array[taa_index].info = IORESOURCE_FIXED | IORESOURCE_IO;
-               translate_address_array[taa_index].bus = bus;
-               translate_address_array[taa_index].devfn = devfn;
-               translate_address_array[taa_index].cfg_space_offset = 0;
-               translate_address_array[taa_index].address = 0x3c0;
-               translate_address_array[taa_index].size = 0x20;
-               /* dont translate addresses... all addresses are 1:1 */
-               translate_address_array[taa_index].address_offset = 0;
-               taa_index++;
-               /* Mem 0xA0000-0xBFFFF */
-               translate_address_array[taa_index].info = IORESOURCE_FIXED | IORESOURCE_MEM;
-               translate_address_array[taa_index].bus = bus;
-               translate_address_array[taa_index].devfn = devfn;
-               translate_address_array[taa_index].cfg_space_offset = 0;
-               translate_address_array[taa_index].address = 0xa0000;
-               translate_address_array[taa_index].size = 0x20000;
-               /* dont translate addresses... all addresses are 1:1 */
-               translate_address_array[taa_index].address_offset = 0;
-               taa_index++;
-       }
-       // store last entry index of translate_address_array
-       taa_last_entry = taa_index - 1;
-#if defined(CONFIG_X86EMU_DEBUG) && CONFIG_X86EMU_DEBUG
-       //dump translate_address_array
-       printf("translate_address_array: \n");
-       translate_address_t ta;
-       for (i = 0; i <= taa_last_entry; i++) {
-               ta = translate_address_array[i];
-               printf
-                   ("%d: info: %08lx bus: %02x devfn: %02x cfg_space_offset: %02x\n\taddr: %016llx\n\toffs: %016llx\n\tsize: %016llx\n",
-                    i, ta.info, ta.bus, ta.devfn, ta.cfg_space_offset,
-                    ta.address, ta.address_offset, ta.size);
-       }
-#endif
-}
-#else
-// use translate_address_dev and get_puid from net-snk's net_support.c
-void translate_address_dev(u64 *, phandle_t);
-u64 get_puid(phandle_t node);
-
-
-// scan all adresses assigned to the device ("assigned-addresses" and "reg")
-// store in translate_address_array for faster translation using dev_translate_address
-void
-biosemu_dev_get_addr_info(void)
-{
-       // get bus/dev/fn from assigned-addresses
-       int32_t len;
-       //max. 6 BARs and 1 Exp.ROM plus CfgSpace and 3 legacy ranges
-       assigned_address_t buf[11];
-       len =
-           of_getprop(bios_device.phandle, "assigned-addresses", buf,
-                      sizeof(buf));
-       bios_device.bus = buf[0].bus;
-       bios_device.devfn = buf[0].devfn;
-       DEBUG_PRINTF("bus: %x, devfn: %x\n", bios_device.bus,
-                    bios_device.devfn);
-       //store address translations for all assigned-addresses and regs in
-       //translate_address_array for faster translation later on...
-       int i = 0;
-       // index to insert data into translate_address_array
-       int taa_index = 0;
-       u64 address_offset;
-       for (i = 0; i < (len / sizeof(assigned_address_t)); i++, taa_index++) {
-               //copy all info stored in assigned-addresses
-               translate_address_array[taa_index].info = buf[i].info;
-               translate_address_array[taa_index].bus = buf[i].bus;
-               translate_address_array[taa_index].devfn = buf[i].devfn;
-               translate_address_array[taa_index].cfg_space_offset =
-                   buf[i].cfg_space_offset;
-               translate_address_array[taa_index].address = buf[i].address;
-               translate_address_array[taa_index].size = buf[i].size;
-               // translate first address and store it as address_offset
-               address_offset = buf[i].address;
-               translate_address_dev(&address_offset, bios_device.phandle);
-               translate_address_array[taa_index].address_offset =
-                   address_offset - buf[i].address;
-       }
-       //get "reg" property
-       len = of_getprop(bios_device.phandle, "reg", buf, sizeof(buf));
-       for (i = 0; i < (len / sizeof(assigned_address_t)); i++) {
-               if ((buf[i].size == 0) || (buf[i].cfg_space_offset != 0)) {
-                       // we dont care for ranges with size 0 and
-                       // BARs and Expansion ROM must be in assigned-addresses... so in reg
-                       // we only look for those without config space offset set...
-                       // i.e. the legacy ranges
-                       continue;
-               }
-               //copy all info stored in assigned-addresses
-               translate_address_array[taa_index].info = buf[i].info;
-               translate_address_array[taa_index].bus = buf[i].bus;
-               translate_address_array[taa_index].devfn = buf[i].devfn;
-               translate_address_array[taa_index].cfg_space_offset =
-                   buf[i].cfg_space_offset;
-               translate_address_array[taa_index].address = buf[i].address;
-               translate_address_array[taa_index].size = buf[i].size;
-               // translate first address and store it as address_offset
-               address_offset = buf[i].address;
-               translate_address_dev(&address_offset, bios_device.phandle);
-               translate_address_array[taa_index].address_offset =
-                   address_offset - buf[i].address;
-               taa_index++;
-       }
-       // store last entry index of translate_address_array
-       taa_last_entry = taa_index - 1;
-#if defined(CONFIG_X86EMU_DEBUG) && CONFIG_X86EMU_DEBUG
-       //dump translate_address_array
-       printf("translate_address_array: \n");
-       translate_address_t ta;
-       for (i = 0; i <= taa_last_entry; i++) {
-               ta = translate_address_array[i];
-               printf
-                   ("%d: %02x%02x%02x%02x\n\taddr: %016llx\n\toffs: %016llx\n\tsize: %016llx\n",
-                    i, ta.info, ta.bus, ta.devfn, ta.cfg_space_offset,
-                    ta.address, ta.address_offset, ta.size);
-       }
-#endif
-}
-#endif
-
-#ifndef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-// to simulate accesses to legacy VGA Memory (0xA0000-0xBFFFF)
-// we look for the first prefetchable memory BAR, if no prefetchable BAR found,
-// we use the first memory BAR
-// dev_translate_addr will translate accesses to the legacy VGA Memory into the found vmem BAR
-static void
-biosemu_dev_find_vmem_addr(void)
-{
-       int i = 0;
-       translate_address_t ta;
-       s8 tai_np = -1, tai_p = -1;     // translate_address_array index for non-prefetchable and prefetchable memory
-       //search backwards to find first entry
-       for (i = taa_last_entry; i >= 0; i--) {
-               ta = translate_address_array[i];
-               if ((ta.cfg_space_offset >= 0x10)
-                   && (ta.cfg_space_offset <= 0x24)) {
-                       //only BARs
-                       if ((ta.info & 0x03) >= 0x02) {
-                               //32/64bit memory
-                               tai_np = i;
-                               if ((ta.info & 0x40) != 0) {
-                                       // prefetchable
-                                       tai_p = i;
-                               }
-                       }
-               }
-       }
-       if (tai_p != -1) {
-               ta = translate_address_array[tai_p];
-               bios_device.vmem_addr = ta.address;
-               bios_device.vmem_size = ta.size;
-               DEBUG_PRINTF
-                   ("%s: Found prefetchable Virtual Legacy Memory BAR: %llx, size: %llx\n",
-                    __func__, bios_device.vmem_addr,
-                    bios_device.vmem_size);
-       } else if (tai_np != -1) {
-               ta = translate_address_array[tai_np];
-               bios_device.vmem_addr = ta.address;
-               bios_device.vmem_size = ta.size;
-               DEBUG_PRINTF
-                   ("%s: Found non-prefetchable Virtual Legacy Memory BAR: %llx, size: %llx",
-                    __func__, bios_device.vmem_addr,
-                    bios_device.vmem_size);
-       }
-       // disable vmem
-       //bios_device.vmem_size = 0;
-}
-
-void
-biosemu_dev_get_puid(void)
-{
-       // get puid
-       bios_device.puid = get_puid(bios_device.phandle);
-       DEBUG_PRINTF("puid: 0x%llx\n", bios_device.puid);
-}
-#endif
-
-static void
-biosemu_dev_get_device_vendor_id(void)
-{
-
-       u32 pci_config_0;
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-       pci_config_0 = pci_read_config32(bios_device.dev, 0x0);
-#else
-       pci_config_0 =
-           rtas_pci_config_read(bios_device.puid, 4, bios_device.bus,
-                                bios_device.devfn, 0x0);
-#endif
-       bios_device.pci_device_id =
-           (u16) ((pci_config_0 & 0xFFFF0000) >> 16);
-       bios_device.pci_vendor_id = (u16) (pci_config_0 & 0x0000FFFF);
-       DEBUG_PRINTF("PCI Device ID: %04x, PCI Vendor ID: %x\n",
-                    bios_device.pci_device_id, bios_device.pci_vendor_id);
-}
-
-/* Check whether the device has a valid Expansion ROM and search the PCI Data
- * Structure and any Expansion ROM Header (using dev_scan_exp_header()) for
- * needed information.  If the rom_addr parameter is != 0, it is the address of
- * the Expansion ROM image and will be used, if it is == 0, the Expansion ROM
- * BAR address will be used.
- */
-u8
-biosemu_dev_check_exprom(unsigned long rom_base_addr)
-{
-       int i = 0;
-       translate_address_t ta;
-       u16 pci_ds_offset;
-       pci_data_struct_t pci_ds;
-       if (rom_base_addr == 0) {
-               // check for ExpROM Address (Offset 30) in taa
-               for (i = 0; i <= taa_last_entry; i++) {
-                       ta = translate_address_array[i];
-                       if (ta.cfg_space_offset == 0x30) {
-                               //translated address
-                               rom_base_addr = ta.address + ta.address_offset;
-                               break;
-                       }
-               }
-       }
-       /* In the ROM there could be multiple Expansion ROM Images... start
-        * searching them for an x86 image.
-        */
-       do {
-               if (rom_base_addr == 0) {
-                       printf("Error: no Expansion ROM address found!\n");
-                       return -1;
-               }
-               set_ci();
-               u16 rom_signature = in16le((void *) rom_base_addr);
-               clr_ci();
-               if (rom_signature != 0xaa55) {
-                       printf
-                           ("Error: invalid Expansion ROM signature: %02x!\n",
-                            *((u16 *) rom_base_addr));
-                       return -1;
-               }
-               set_ci();
-               // at offset 0x18 is the (16bit little-endian) pointer to the PCI Data Structure
-               pci_ds_offset = in16le((void *) (rom_base_addr + 0x18));
-               //copy the PCI Data Structure
-               memcpy(&pci_ds, (void *) (rom_base_addr + pci_ds_offset),
-                      sizeof(pci_ds));
-               clr_ci();
-#if defined(CONFIG_X86EMU_DEBUG) && CONFIG_X86EMU_DEBUG
-               DEBUG_PRINTF("PCI Data Structure @%lx:\n",
-                            rom_base_addr + pci_ds_offset);
-               dump((void *) &pci_ds, sizeof(pci_ds));
-#endif
-               if (strncmp((const char *) pci_ds.signature, "PCIR", 4) != 0) {
-                       printf("Invalid PCI Data Structure found!\n");
-                       break;
-               }
-               //little-endian conversion
-               pci_ds.vendor_id = in16le(&pci_ds.vendor_id);
-               pci_ds.device_id = in16le(&pci_ds.device_id);
-               pci_ds.img_length = in16le(&pci_ds.img_length);
-               pci_ds.pci_ds_length = in16le(&pci_ds.pci_ds_length);
-               if (pci_ds.vendor_id != bios_device.pci_vendor_id) {
-                       printf
-                           ("Image has invalid Vendor ID: %04x, expected: %04x\n",
-                            pci_ds.vendor_id, bios_device.pci_vendor_id);
-                       break;
-               }
-               if (pci_ds.device_id != bios_device.pci_device_id) {
-                       printf
-                           ("Image has invalid Device ID: %04x, expected: %04x\n",
-                            pci_ds.device_id, bios_device.pci_device_id);
-                       break;
-               }
-               DEBUG_PRINTF("Image Length: %d\n", pci_ds.img_length * 512);
-               DEBUG_PRINTF("Image Code Type: %d\n", pci_ds.code_type);
-               if (pci_ds.code_type == 0) {
-                       //x86 image
-                       //store image address and image length in bios_device struct
-                       bios_device.img_addr = rom_base_addr;
-                       bios_device.img_size = pci_ds.img_length * 512;
-                       // we found the image, exit the loop
-                       break;
-               } else {
-                       // no x86 image, check next image (if any)
-                       rom_base_addr += pci_ds.img_length * 512;
-               }
-               if ((pci_ds.indicator & 0x80) == 0x80) {
-                       //last image found, exit the loop
-                       DEBUG_PRINTF("Last PCI Expansion ROM Image found.\n");
-                       break;
-               }
-       }
-       while (bios_device.img_addr == 0);
-       // in case we did not find a valid x86 Expansion ROM Image
-       if (bios_device.img_addr == 0) {
-               printf("Error: no valid x86 Expansion ROM Image found!\n");
-               return -1;
-       }
-       return 0;
-}
-
-u8
-biosemu_dev_init(struct device * device)
-{
-       u8 rval = 0;
-       //init bios_device struct
-       DEBUG_PRINTF("%s\n", __func__);
-       memset(&bios_device, 0, sizeof(bios_device));
-
-#ifndef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-       bios_device.ihandle = of_open(device_name);
-       if (bios_device.ihandle == 0) {
-               DEBUG_PRINTF("%s is no valid device!\n", device_name);
-               return -1;
-       }
-       bios_device.phandle = of_finddevice(device_name);
-#else
-       bios_device.dev = device;
-#endif
-       biosemu_dev_get_addr_info();
-#ifndef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-       biosemu_dev_find_vmem_addr();
-       biosemu_dev_get_puid();
-#endif
-       biosemu_dev_get_device_vendor_id();
-       return rval;
-}
-
-// translate address function using translate_address_array assembled
-// by dev_get_addr_info... MUCH faster than calling translate_address_dev
-// and accessing client interface for every translation...
-// returns: 0 if addr not found in translate_address_array, 1 if found.
-u8
-biosemu_dev_translate_address(unsigned long * addr)
-{
-       int i = 0;
-       translate_address_t ta;
-#ifndef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-       /* we dont need this hack for coreboot... we can access legacy areas */
-       //check if it is an access to legacy VGA Mem... if it is, map the address
-       //to the vmem BAR and then translate it...
-       // (translation info provided by Ben Herrenschmidt)
-       // NOTE: the translation seems to only work for NVIDIA cards... but it is needed
-       // to make some NVIDIA cards work at all...
-       if ((bios_device.vmem_size > 0)
-           && ((*addr >= 0xA0000) && (*addr < 0xB8000))) {
-               *addr = (*addr - 0xA0000) * 4 + 2 + bios_device.vmem_addr;
-       }
-       if ((bios_device.vmem_size > 0)
-           && ((*addr >= 0xB8000) && (*addr < 0xC0000))) {
-               u8 shift = *addr & 1;
-               *addr &= 0xfffffffe;
-               *addr = (*addr - 0xB8000) * 4 + shift + bios_device.vmem_addr;
-       }
-#endif
-       for (i = 0; i <= taa_last_entry; i++) {
-               ta = translate_address_array[i];
-               if ((*addr >= ta.address) && (*addr <= (ta.address + ta.size))) {
-                       *addr += ta.address_offset;
-                       return 1;
-               }
-       }
-       return 0;
-}
diff --git a/util/x86emu/yabel/device.h b/util/x86emu/yabel/device.h
deleted file mode 100644 (file)
index dbbd28d..0000000
+++ /dev/null
@@ -1,182 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * Copyright (c) 2008, 2009 Pattrick Hueper <phueper@hueper.net>
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-#ifndef DEVICE_LIB_H
-#define DEVICE_LIB_H
-
-#include <types.h>
-#include <arch/byteorder.h>
-#include "compat/of.h"
-#include "debug.h"
-
-
-// a Expansion Header Struct as defined in Plug and Play BIOS Spec 1.0a Chapter 3.2
-typedef struct {
-       char signature[4];      // signature
-       u8 structure_revision;
-       u8 length;              // in 16 byte blocks
-       u16 next_header_offset; // offset to next Expansion Header as 16bit little-endian value, as offset from the start of the Expansion ROM
-       u8 reserved;
-       u8 checksum;    // the sum of all bytes of the Expansion Header must be 0
-       u32 device_id;  // PnP Device ID as 32bit little-endian value
-       u16 p_manufacturer_string;      //16bit little-endian offset from start of Expansion ROM
-       u16 p_product_string;   //16bit little-endian offset from start of Expansion ROM
-       u8 device_base_type;
-       u8 device_sub_type;
-       u8 device_if_type;
-       u8 device_indicators;
-       // the following vectors are all 16bit little-endian offsets from start of Expansion ROM
-       u16 bcv;                // Boot Connection Vector
-       u16 dv;         // Disconnect Vector
-       u16 bev;                // Bootstrap Entry Vector
-       u16 reserved_2;
-       u16 sriv;               // Static Resource Information Vector
-} __attribute__ ((__packed__)) exp_header_struct_t;
-
-// a PCI Data Struct as defined in PCI 2.3 Spec Chapter 6.3.1.2
-typedef struct {
-       u8 signature[4];        // signature, the String "PCIR"
-       u16 vendor_id;
-       u16 device_id;
-       u16 reserved;
-       u16 pci_ds_length;      // PCI Data Structure Length, 16bit little-endian value
-       u8 pci_ds_revision;
-       u8 class_code[3];
-       u16 img_length; // length of the Exp.ROM Image, 16bit little-endian value in 512 bytes
-       u16 img_revision;
-       u8 code_type;
-       u8 indicator;
-       u16 reserved_2;
-} __attribute__ ((__packed__)) pci_data_struct_t;
-
-typedef struct {
-       u8 bus;
-       u8 devfn;
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-       struct device* dev;
-#else
-       u64 puid;
-       phandle_t phandle;
-       ihandle_t ihandle;
-#endif
-       // store the address of the BAR that is used to simulate
-       // legacy VGA memory accesses
-       u64 vmem_addr;
-       u64 vmem_size;
-       // used to buffer I/O Accesses, that do not access the I/O Range of the device...
-       // 64k might be overkill, but we can buffer all I/O accesses...
-       u8 io_buffer[64 * 1024];
-       u16 pci_vendor_id;
-       u16 pci_device_id;
-       // translated address of the "PC-Compatible" Expansion ROM Image for this device
-       unsigned long img_addr;
-       u32 img_size;   // size of the Expansion ROM Image (read from the PCI Data Structure)
-} biosemu_device_t;
-
-typedef struct {
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-       unsigned long info;
-#else
-       u8 info;
-#endif
-       u8 bus;
-       u8 devfn;
-       u8 cfg_space_offset;
-       u64 address;
-       u64 address_offset;
-       u64 size;
-} __attribute__ ((__packed__)) translate_address_t;
-
-// array to store address translations for this
-// device. Needed for faster address translation, so
-// not every I/O or Memory Access needs to call translate_address_dev
-// and access the device tree
-// 6 BARs, 1 Exp. ROM, 1 Cfg.Space, and 3 Legacy
-// translations are supported... this should be enough for
-// most devices... for VGA it is enough anyways...
-extern translate_address_t translate_address_array[11];
-
-// index of last translate_address_array entry
-// set by get_dev_addr_info function
-extern u8 taa_last_entry;
-
-/* the device we are working with... */
-extern biosemu_device_t bios_device;
-
-u8 biosemu_dev_init(struct device * device);
-// NOTE: for dev_check_exprom to work, biosemu_dev_init MUST be called first!
-u8 biosemu_dev_check_exprom(unsigned long rom_base_addr);
-
-u8 biosemu_dev_translate_address(unsigned long * addr);
-
-/* endianness swap functions for 16 and 32 bit words
- * copied from axon_pciconfig.c
- */
-static inline void
-out32le(void *addr, u32 val)
-{
-#ifdef __i386
-       *((u32*) addr) = cpu_to_le32(val);
-#else
-       asm volatile ("stwbrx  %0, 0, %1"::"r" (val), "r"(addr));
-#endif
-}
-
-static inline u32
-in32le(void *addr)
-{
-       u32 val;
-#ifdef __i386
-       val = cpu_to_le32(*((u32 *) addr));
-#else
-       asm volatile ("lwbrx  %0, 0, %1":"=r" (val):"r"(addr));
-#endif
-       return val;
-}
-
-static inline void
-out16le(void *addr, u16 val)
-{
-#ifdef __i386
-       *((u16*) addr) = cpu_to_le16(val);
-#else
-       asm volatile ("sthbrx  %0, 0, %1"::"r" (val), "r"(addr));
-#endif
-}
-
-static inline u16
-in16le(void *addr)
-{
-       u16 val;
-#ifdef __i386
-       val = cpu_to_le16(*((u16*) addr));
-#else
-       asm volatile ("lhbrx %0, 0, %1":"=r" (val):"r"(addr));
-#endif
-       return val;
-}
-
-/* debug function, dumps HID1 and HID4 to detect whether caches are on/off */
-static inline void
-dumpHID(void)
-{
-       u64 hid;
-       //HID1 = 1009
-       __asm__ __volatile__("mfspr %0, 1009":"=r"(hid));
-       printf("HID1: %016llx\n", hid);
-       //HID4 = 1012
-       __asm__ __volatile__("mfspr %0, 1012":"=r"(hid));
-       printf("HID4: %016llx\n", hid);
-}
-
-#endif
diff --git a/util/x86emu/yabel/interrupt.c b/util/x86emu/yabel/interrupt.c
deleted file mode 100644 (file)
index 9a79600..0000000
+++ /dev/null
@@ -1,677 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * Copyright (c) 2008, 2009 Pattrick Hueper <phueper@hueper.net>
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-#include <types.h>
-#include "compat/rtas.h"
-
-#include "biosemu.h"
-#include "mem.h"
-#include "device.h"
-#include "debug.h"
-#include "pmm.h"
-#include "interrupt.h"
-
-#include <x86emu/x86emu.h>
-#include "../x86emu/prim_ops.h"
-
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-#include <device/pci.h>
-#include <device/pci_ops.h>
-#endif
-
-
-//setup to run the code at the address, that the Interrupt Vector points to...
-static void
-setupInt(int intNum)
-{
-       DEBUG_PRINTF_INTR("%s(%x): executing interrupt handler @%08x\n",
-                         __func__, intNum, my_rdl(intNum * 4));
-       // push current R_FLG... will be popped by IRET
-       push_word((u16) M.x86.R_FLG);
-       CLEAR_FLAG(F_IF);
-       CLEAR_FLAG(F_TF);
-       // push current CS:IP to the stack, will be popped by IRET
-       push_word(M.x86.R_CS);
-       push_word(M.x86.R_IP);
-       // set CS:IP to the interrupt handler address... so the next executed instruction will
-       // be the interrupt handler
-       M.x86.R_CS = my_rdw(intNum * 4 + 2);
-       M.x86.R_IP = my_rdw(intNum * 4);
-}
-
-// handle int10 (VGA BIOS Interrupt)
-static void
-handleInt10(void)
-{
-       // the data for INT10 is stored in BDA (0000:0400h) offset 49h-66h
-       // function number in AH
-       //DEBUG_PRINTF_CS_IP("%s:\n", __func__);
-       //x86emu_dump_xregs();
-       //if ((M.x86.R_IP == 0x32c2) && (M.x86.R_SI == 0x1ce2)){
-       //X86EMU_trace_on();
-       //M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F;
-       //}
-       switch (M.x86.R_AH) {
-       case 0x00:
-               // set video mode
-               // BDA offset 49h is current video mode
-               my_wrb(0x449, M.x86.R_AL);
-               if (M.x86.R_AL > 7)
-                       M.x86.R_AL = 0x20;
-               else if (M.x86.R_AL == 6)
-                       M.x86.R_AL = 0x3f;
-               else
-                       M.x86.R_AL = 0x30;
-               break;
-       case 0x01:
-               // set cursor shape
-               // ignore
-               break;
-       case 0x02:
-               // set cursor position
-               // BH: pagenumber, DX: cursor_pos (DH:row, DL:col)
-               // BDA offset 50h-60h are 8 cursor position words for
-               // eight possible video pages
-               my_wrw(0x450 + (M.x86.R_BH * 2), M.x86.R_DX);
-               break;
-       case 0x03:
-               //get cursor position
-               // BH: pagenumber
-               // BDA offset 50h-60h are 8 cursor position words for
-               // eight possible video pages
-               M.x86.R_AX = 0;
-               M.x86.R_CH = 0; // start scan line ???
-               M.x86.R_CL = 0; // end scan line ???
-               M.x86.R_DX = my_rdw(0x450 + (M.x86.R_BH * 2));
-               break;
-       case 0x05:
-               // set active page
-               // BDA offset 62h is current page number
-               my_wrb(0x462, M.x86.R_AL);
-               break;
-       case 0x06:
-               //scroll up windows
-               break;
-       case 0x07:
-               //scroll down windows
-               break;
-       case 0x08:
-               //read character and attribute at position
-               M.x86.R_AH = 0x07;      // white-on-black
-               M.x86.R_AL = 0x20;      // a space...
-               break;
-       case 0x09:
-               // write character and attribute
-               //AL: char, BH: page number, BL: attribute, CX: number of times to write
-               //BDA offset 62h is current page number
-               CHECK_DBG(DEBUG_PRINT_INT10) {
-                       u32 i = 0;
-                       if (M.x86.R_BH == my_rdb(0x462)) {
-                               for (i = 0; i < M.x86.R_CX; i++)
-                                       printf("%c", M.x86.R_AL);
-                       }
-               }
-               break;
-       case 0x0a:
-               // write character
-               //AL: char, BH: page number, BL: attribute, CX: number of times to write
-               //BDA offset 62h is current page number
-               CHECK_DBG(DEBUG_PRINT_INT10) {
-                       u32 i = 0;
-                       if (M.x86.R_BH == my_rdb(0x462)) {
-                               for (i = 0; i < M.x86.R_CX; i++)
-                                       printf("%c", M.x86.R_AL);
-                       }
-               }
-               break;
-       case 0x0e:
-               // teletype output: write character and advance cursor...
-               //AL: char, BH: page number, BL: attribute
-               //BDA offset 62h is current page number
-               CHECK_DBG(DEBUG_PRINT_INT10) {
-                       // we ignore the pagenumber on this call...
-                       //if (M.x86.R_BH == my_rdb(0x462))
-                       {
-                               printf("%c", M.x86.R_AL);
-                               // for debugging, to read all lines
-                               //if (M.x86.R_AL == 0xd) // carriage return
-                               //      printf("\n");
-                       }
-               }
-               break;
-       case 0x0f:
-               // get video mode
-               // BDA offset 49h is current video mode
-               // BDA offset 62h is current page number
-               // BDA offset 4ah is columns on screen
-               M.x86.R_AH = 80;        //number of character columns... we hardcode it to 80
-               M.x86.R_AL = my_rdb(0x449);
-               M.x86.R_BH = my_rdb(0x462);
-               break;
-       default:
-               printf("%s(): unknown function (%x) for int10 handler.\n",
-                      __func__, M.x86.R_AH);
-               DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n",
-                                 M.x86.R_AX, M.x86.R_BX, M.x86.R_CX,
-                                 M.x86.R_DX);
-               HALT_SYS();
-               break;
-       }
-}
-
-// this table translates ASCII chars into their XT scan codes:
-static u8 keycode_table[256] = {
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 0 - 7
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 8 - 15
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 16 - 23
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 24 - 31
-       0x39, 0x02, 0x28, 0x04, 0x05, 0x06, 0x08, 0x28, // 32 - 39
-       0x0a, 0x0b, 0x09, 0x2b, 0x33, 0x0d, 0x34, 0x35, // 40 - 47
-       0x0b, 0x02, 0x03, 0x04, 0x05, 0x06, 0x07, 0x08, // 48 - 55
-       0x09, 0x0a, 0x27, 0x27, 0x33, 0x2b, 0x34, 0x35, // 56 - 63
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 64 - 71
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 72 - 79
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 80 - 87
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 88 - 95
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 96 - 103
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 104 - 111
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 112 - 119
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // 120 - 127
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // ...
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-}
-
-;
-
-static void
-translate_keycode(u64 * keycode)
-{
-       u8 scan_code = 0;
-       u8 char_code = 0;
-       if (*keycode < 256) {
-               scan_code = keycode_table[*keycode];
-               char_code = (u8) * keycode & 0xff;
-       } else {
-               switch (*keycode) {
-               case 0x1b50:
-                       // F1
-                       scan_code = 0x3b;
-                       char_code = 0x0;
-                       break;
-               default:
-                       printf("%s(): unknown multibyte keycode: %llx\n",
-                              __func__, *keycode);
-                       break;
-               }
-       }
-       //assemble scan/char code in keycode
-       *keycode = (u64) ((((u16) scan_code) << 8) | char_code);
-}
-
-// handle int16 (Keyboard BIOS Interrupt)
-static void
-handleInt16(void)
-{
-       // keyboard buffer is in BIOS Memory Area:
-       // offset 0x1a (WORD) pointer to next char in keybuffer
-       // offset 0x1c (WORD) pointer to next insert slot in keybuffer
-       // offset 0x1e-0x3e: 16 WORD Ring Buffer
-       // since we currently always read the char from the FW buffer,
-       // we misuse the ring buffer, we use it as pointer to a u64 that stores
-       // multi-byte keys (e.g. special keys in VT100 terminal)
-       // and as long as a key is available (not 0) we dont read further keys
-       u64 *keycode = (u64 *) (M.mem_base + 0x41e);
-       s8 c;
-       // function number in AH
-       DEBUG_PRINTF_INTR("%s(): Keyboard Interrupt: function: %x.\n",
-                         __func__, M.x86.R_AH);
-       DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n", M.x86.R_AX,
-                         M.x86.R_BX, M.x86.R_CX, M.x86.R_DX);
-       switch (M.x86.R_AH) {
-       case 0x00:
-               // get keystroke
-               if (*keycode) {
-                       M.x86.R_AX = (u16) * keycode;
-                       // clear keycode
-                       *keycode = 0;
-               } else {
-                       M.x86.R_AH = 0x61;      // scancode for space key
-                       M.x86.R_AL = 0x20;      // a space
-               }
-               break;
-       case 0x01:
-               // check keystroke
-               // ZF set = no keystroke
-               // read first byte of key code
-               if (*keycode) {
-                       // already read, but not yet taken
-                       CLEAR_FLAG(F_ZF);
-                       M.x86.R_AX = (u16) * keycode;
-               } else {
-                       /* TODO: we need getchar... */
-                       c = -1; //getchar();
-                       if (c == -1) {
-                               // no key available
-                               SET_FLAG(F_ZF);
-                       } else {
-                               *keycode = c;
-
-                               // since after an ESC it may take a while to receive the next char,
-                               // we send something that is not shown on the screen, and then try to get
-                               // the next char
-                               // TODO: only after ESC?? what about other multibyte keys
-                               printf("tt%c%c", 0x08, 0x08);   // 0x08 == Backspace
-
-                               /* TODO: we need getchar... */
-                               while ((c = -1 /*getchar()*/) != -1) {
-                                       *keycode = (*keycode << 8) | c;
-                                       DEBUG_PRINTF(" key read: %0llx\n",
-                                                    *keycode);
-                               }
-                               translate_keycode(keycode);
-                               DEBUG_PRINTF(" translated key: %0llx\n",
-                                            *keycode);
-                               if (*keycode == 0) {
-                                       //not found
-                                       SET_FLAG(F_ZF);
-                               } else {
-                                       CLEAR_FLAG(F_ZF);
-                                       M.x86.R_AX = (u16) * keycode;
-                                       //X86EMU_trace_on();
-                                       //M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F;
-                               }
-                       }
-               }
-               break;
-       default:
-               printf("%s(): unknown function (%x) for int16 handler.\n",
-                      __func__, M.x86.R_AH);
-               DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n",
-                                 M.x86.R_AX, M.x86.R_BX, M.x86.R_CX,
-                                 M.x86.R_DX);
-               HALT_SYS();
-               break;
-       }
-}
-
-// handle int1a (PCI BIOS Interrupt)
-static void
-handleInt1a(void)
-{
-       // function number in AX
-       u8 bus, devfn, offs;
-       struct device* dev;
-       switch (M.x86.R_AX) {
-       case 0xb101:
-               // Installation check
-               CLEAR_FLAG(F_CF);       // clear CF
-               M.x86.R_EDX = 0x20494350;       // " ICP" endian swapped "PCI "
-               M.x86.R_AL = 0x1;       // Config Space Mechanism 1 supported
-               M.x86.R_BX = 0x0210;    // PCI Interface Level Version 2.10
-               M.x86.R_CL = 0xff;      // number of last PCI Bus in system TODO: check!
-               break;
-       case 0xb102:
-               // Find PCI Device
-               // device_id in CX, vendor_id in DX
-               // device index in SI (i.e. if multiple devices with same vendor/device id
-               // are connected). We currently only support device index 0
-               //
-               DEBUG_PRINTF_INTR("%s(): function: %x: PCI Find Device\n",
-                                 __func__, M.x86.R_AX);
-               /* FixME: support SI != 0 */
-#if defined(CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES) && CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES==1
-               dev = dev_find_device(M.x86.R_DX, M.x86.R_CX, 0);
-               if (dev != 0) {
-                       DEBUG_PRINTF_INTR
-                           ("%s(): function %x: PCI Find Device --> 0x%04x\n",
-                            __func__, M.x86.R_AX, M.x86.R_BX);
-
-                       M.x86.R_BH = dev->bus->secondary;
-                       M.x86.R_BL = dev->path.pci.devfn;
-                       M.x86.R_AH = 0x00; // return code: success
-                       CLEAR_FLAG(F_CF);
-#else
-               // only allow the device to find itself...
-               if ((M.x86.R_CX == bios_device.pci_device_id)
-                  && (M.x86.R_DX == bios_device.pci_vendor_id)
-                  // device index must be 0
-                  && (M.x86.R_SI == 0)) {
-                       CLEAR_FLAG(F_CF);
-                       M.x86.R_AH = 0x00;      // return code: success
-                       M.x86.R_BH = bios_device.bus;
-                       M.x86.R_BL = bios_device.devfn;
-#endif
-               } else {
-                       DEBUG_PRINTF_INTR
-                           ("%s(): function %x: invalid device/vendor/device index! (%04x/%04x/%02x expected: %04x/%04x/00) \n",
-                            __func__, M.x86.R_AX, M.x86.R_CX, M.x86.R_DX,
-                            M.x86.R_SI, bios_device.pci_device_id,
-                            bios_device.pci_vendor_id);
-
-                       SET_FLAG(F_CF);
-                       M.x86.R_AH = 0x86;      // return code: device not found
-               }
-               break;
-       case 0xb108:            //read configuration byte
-       case 0xb109:            //read configuration word
-       case 0xb10a:            //read configuration dword
-               bus = M.x86.R_BH;
-               devfn = M.x86.R_BL;
-               offs = M.x86.R_DI;
-               DEBUG_PRINTF_INTR("%s(): function: %x: PCI Config Read from device: bus: %02x, devfn: %02x, offset: %02x\n",
-                                 __func__, M.x86.R_AX, bus, devfn, offs);
-#if defined(CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES) && CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES==1
-               dev = dev_find_slot(bus, devfn);
-               DEBUG_PRINTF_INTR("%s(): function: %x: dev_find_slot() returned: %s\n",
-                                 __func__, M.x86.R_AX, dev_path(dev));
-               if (dev == 0) {
-                       // fail accesses to non-existent devices...
-#else
-               dev = bios_device.dev;
-               if ((bus != bios_device.bus)
-                    || (devfn != bios_device.devfn)) {
-                       // fail accesses to any device but ours...
-#endif
-                       printf
-                           ("%s(): Config read access invalid device! bus: %02x (%02x), devfn: %02x (%02x), offs: %02x\n",
-                            __func__, bus, bios_device.bus, devfn,
-                            bios_device.devfn, offs);
-                       SET_FLAG(F_CF);
-                       M.x86.R_AH = 0x87;      //return code: bad pci register
-                       HALT_SYS();
-                       return;
-               } else {
-                       switch (M.x86.R_AX) {
-                       case 0xb108:
-                               M.x86.R_CL =
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-                                       pci_read_config8(dev, offs);
-#else                                  
-                                   (u8) rtas_pci_config_read(bios_device.
-                                                                  puid, 1,
-                                                                  bus, devfn,
-                                                                  offs);
-#endif
-                               DEBUG_PRINTF_INTR
-                                   ("%s(): function %x: PCI Config Read @%02x --> 0x%02x\n",
-                                    __func__, M.x86.R_AX, offs,
-                                    M.x86.R_CL);
-                               break;
-                       case 0xb109:
-                               M.x86.R_CX =
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-                                       pci_read_config16(dev, offs);
-#else                                  
-                                   (u16) rtas_pci_config_read(bios_device.
-                                                                   puid, 2,
-                                                                   bus, devfn,
-                                                                   offs);
-#endif
-                               DEBUG_PRINTF_INTR
-                                   ("%s(): function %x: PCI Config Read @%02x --> 0x%04x\n",
-                                    __func__, M.x86.R_AX, offs,
-                                    M.x86.R_CX);
-                               break;
-                       case 0xb10a:
-                               M.x86.R_ECX =
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-                                       pci_read_config32(dev, offs);
-#else                                  
-                                   (u32) rtas_pci_config_read(bios_device.
-                                                                   puid, 4,
-                                                                   bus, devfn,
-                                                                   offs);
-#endif
-                               DEBUG_PRINTF_INTR
-                                   ("%s(): function %x: PCI Config Read @%02x --> 0x%08x\n",
-                                    __func__, M.x86.R_AX, offs,
-                                    M.x86.R_ECX);
-                               break;
-                       }
-                       CLEAR_FLAG(F_CF);
-                       M.x86.R_AH = 0x0;       // return code: success
-               }
-               break;
-       case 0xb10b:            //write configuration byte
-       case 0xb10c:            //write configuration word
-       case 0xb10d:            //write configuration dword
-               bus = M.x86.R_BH;
-               devfn = M.x86.R_BL;
-               offs = M.x86.R_DI;
-               if ((bus != bios_device.bus)
-                   || (devfn != bios_device.devfn)) {
-                       // fail accesses to any device but ours...
-                       printf
-                           ("%s(): Config read access invalid! bus: %x (%x), devfn: %x (%x), offs: %x\n",
-                            __func__, bus, bios_device.bus, devfn,
-                            bios_device.devfn, offs);
-                       SET_FLAG(F_CF);
-                       M.x86.R_AH = 0x87;      //return code: bad pci register
-                       HALT_SYS();
-                       return;
-               } else {
-                       switch (M.x86.R_AX) {
-                       case 0xb10b:
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-                                       pci_write_config8(bios_device.dev, offs, M.x86.R_CL);
-#else                                  
-                               rtas_pci_config_write(bios_device.puid, 1, bus,
-                                                     devfn, offs, M.x86.R_CL);
-#endif
-                               DEBUG_PRINTF_INTR
-                                   ("%s(): function %x: PCI Config Write @%02x <-- 0x%02x\n",
-                                    __func__, M.x86.R_AX, offs,
-                                    M.x86.R_CL);
-                               break;
-                       case 0xb10c:
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-                                       pci_write_config16(bios_device.dev, offs, M.x86.R_CX);
-#else                                  
-                               rtas_pci_config_write(bios_device.puid, 2, bus,
-                                                     devfn, offs, M.x86.R_CX);
-#endif
-                               DEBUG_PRINTF_INTR
-                                   ("%s(): function %x: PCI Config Write @%02x <-- 0x%04x\n",
-                                    __func__, M.x86.R_AX, offs,
-                                    M.x86.R_CX);
-                               break;
-                       case 0xb10d:
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-                                       pci_write_config32(bios_device.dev, offs, M.x86.R_ECX);
-#else                                  
-                               rtas_pci_config_write(bios_device.puid, 4, bus,
-                                                     devfn, offs, M.x86.R_ECX);
-#endif
-                               DEBUG_PRINTF_INTR
-                                   ("%s(): function %x: PCI Config Write @%02x <-- 0x%08x\n",
-                                    __func__, M.x86.R_AX, offs,
-                                    M.x86.R_ECX);
-                               break;
-                       }
-                       CLEAR_FLAG(F_CF);
-                       M.x86.R_AH = 0x0;       // return code: success
-               }
-               break;
-       default:
-               printf("%s(): unknown function (%x) for int1a handler.\n",
-                      __func__, M.x86.R_AX);
-               DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n",
-                                 M.x86.R_AX, M.x86.R_BX, M.x86.R_CX,
-                                 M.x86.R_DX);
-               HALT_SYS();
-               break;
-       }
-}
-
-// main Interrupt Handler routine, should be registered as x86emu interrupt handler
-void
-handleInterrupt(int intNum)
-{
-       u8 int_handled = 0;
-#ifndef DEBUG_PRINT_INT10
-       // this printf makes output by int 10 unreadable...
-       // so we only enable it, if int10 print is disabled
-       DEBUG_PRINTF_INTR("%s(%x)\n", __func__, intNum);
-#endif
-
-       /* check wether this interrupt has a function pointer set in yabel_intFuncArray and run that */
-       if (yabel_intFuncArray[intNum]) {
-               DEBUG_PRINTF_INTR("%s(%x) intHandler overridden, calling it...\n", __func__, intNum);
-               int_handled = (*yabel_intFuncArray[intNum])();
-       } else {
-               switch (intNum) {
-               case 0x10:              //BIOS video interrupt
-               case 0x42:              // INT 10h relocated by EGA/VGA BIOS
-               case 0x6d:              // INT 10h relocated by VGA BIOS
-                       // get interrupt vector from IDT (4 bytes per Interrupt starting at address 0
-                       if ((my_rdl(intNum * 4) == 0xF000F065) ||       //F000:F065 is default BIOS interrupt handler address
-                           (my_rdl(intNum * 4) == 0xF4F4F4F4)) //invalid
-                       {
-#if 0
-                               // ignore interrupt...
-                               DEBUG_PRINTF_INTR
-                                   ("%s(%x): invalid interrupt Vector (%08x) found, interrupt ignored...\n",
-                                    __func__, intNum, my_rdl(intNum * 4));
-                               DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n",
-                                                 M.x86.R_AX, M.x86.R_BX, M.x86.R_CX,
-                                                 M.x86.R_DX);
-                               //HALT_SYS();
-#endif
-                               handleInt10();
-                               int_handled = 1;
-                       }
-                       break;
-               case 0x16:
-                       // Keyboard BIOS Interrupt
-                       handleInt16();
-                       int_handled = 1;
-                       break;
-               case 0x1a:
-                       // PCI BIOS Interrupt
-                       handleInt1a();
-                       int_handled = 1;
-                       break;
-               case PMM_INT_NUM:
-                       /* the selfdefined PMM INT number, this is called by the code in PMM struct, it 
-                        * is handled by pmm_handleInt()
-                        */
-                       pmm_handleInt();
-                       int_handled = 1;
-                       break;
-               default:
-                       printf("Interrupt %#x (Vector: %x) not implemented\n", intNum,
-                              my_rdl(intNum * 4));
-                       DEBUG_PRINTF_INTR("AX=%04x BX=%04x CX=%04x DX=%04x\n",
-                                         M.x86.R_AX, M.x86.R_BX, M.x86.R_CX,
-                                         M.x86.R_DX);
-                       int_handled = 1;
-                       HALT_SYS();
-                       break;
-               }
-       }
-       // if we did not handle the interrupt, jump to the interrupt vector...
-       if (!int_handled) {
-               setupInt(intNum);
-       }
-}
-
-// prepare and execute Interrupt 10 (VGA Interrupt)
-void
-runInt10(void)
-{
-       // Initialize stack and data segment
-       M.x86.R_SS = STACK_SEGMENT;
-       M.x86.R_DS = DATA_SEGMENT;
-       M.x86.R_SP = STACK_START_OFFSET;
-
-       // push a HLT instruction and a pointer to it onto the stack
-       // any return will pop the pointer and jump to the HLT, thus
-       // exiting (more or less) cleanly
-       push_word(0xf4f4);      //F4=HLT
-       //push_word(M.x86.R_SS);
-       //push_word(M.x86.R_SP + 2);
-
-       // setupInt will push the current CS and IP to the stack to return to it,
-       // but we want to halt, so set CS:IP to the HLT instruction we just pushed
-       // to the stack
-       M.x86.R_CS = M.x86.R_SS;
-       M.x86.R_IP = M.x86.R_SP;        // + 4;
-
-       CHECK_DBG(DEBUG_TRACE_X86EMU) {
-               X86EMU_trace_on();
-       }
-       CHECK_DBG(DEBUG_JMP) {
-               M.x86.debug |= DEBUG_TRACEJMP_REGS_F;
-               M.x86.debug |= DEBUG_TRACEJMP_REGS_F;
-               M.x86.debug |= DEBUG_TRACECALL_F;
-               M.x86.debug |= DEBUG_TRACECALL_REGS_F;
-       }
-       setupInt(0x10);
-       DEBUG_PRINTF_INTR("%s(): starting execution of INT10...\n",
-                         __func__);
-       X86EMU_exec();
-       DEBUG_PRINTF_INTR("%s(): execution finished\n", __func__);
-}
-
-// prepare and execute Interrupt 13 (Disk Interrupt)
-void
-runInt13(void)
-{
-       // Initialize stack and data segment
-       M.x86.R_SS = STACK_SEGMENT;
-       M.x86.R_DS = DATA_SEGMENT;
-       M.x86.R_SP = STACK_START_OFFSET;
-
-       // push a HLT instruction and a pointer to it onto the stack
-       // any return will pop the pointer and jump to the HLT, thus
-       // exiting (more or less) cleanly
-       push_word(0xf4f4);      //F4=HLT
-       //push_word(M.x86.R_SS);
-       //push_word(M.x86.R_SP + 2);
-
-       // setupInt will push the current CS and IP to the stack to return to it,
-       // but we want to halt, so set CS:IP to the HLT instruction we just pushed
-       // to the stack
-       M.x86.R_CS = M.x86.R_SS;
-       M.x86.R_IP = M.x86.R_SP;
-
-       CHECK_DBG(DEBUG_TRACE_X86EMU) {
-               X86EMU_trace_on();
-       }
-       CHECK_DBG(DEBUG_JMP) {
-               M.x86.debug |= DEBUG_TRACEJMP_REGS_F;
-               M.x86.debug |= DEBUG_TRACEJMP_REGS_F;
-               M.x86.debug |= DEBUG_TRACECALL_F;
-               M.x86.debug |= DEBUG_TRACECALL_REGS_F;
-       }
-
-       setupInt(0x13);
-       DEBUG_PRINTF_INTR("%s(): starting execution of INT13...\n",
-                         __func__);
-       X86EMU_exec();
-       DEBUG_PRINTF_INTR("%s(): execution finished\n", __func__);
-}
diff --git a/util/x86emu/yabel/interrupt.h b/util/x86emu/yabel/interrupt.h
deleted file mode 100644 (file)
index 11755e1..0000000
+++ /dev/null
@@ -1,21 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-#ifndef _BIOSEMU_INTERRUPT_H_
-#define _BIOSEMU_INTERRUPT_H_
-
-void handleInterrupt(int intNum);
-
-void runInt10(void);
-
-void runInt13(void);
-
-#endif
diff --git a/util/x86emu/yabel/io.c b/util/x86emu/yabel/io.c
deleted file mode 100644 (file)
index 38a5d32..0000000
+++ /dev/null
@@ -1,574 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * Copyright (c) 2009 Pattrick Hueper <phueper@hueper.net>
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-#include <types.h>
-#include "compat/rtas.h"
-#include "compat/time.h"
-#include "device.h"
-#include "debug.h"
-#include <x86emu/x86emu.h>
-#include "io.h"
-
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-#include <device/pci.h>
-#include <device/pci_ops.h>
-#endif
-
-static unsigned int
-read_io(void *addr, size_t sz)
-{
-        unsigned int ret;
-       /* since we are using inb instructions, we need the port number as 16bit value */
-       u16 port = (u16)(u32) addr;
-
-        switch (sz) {
-        case 1:
-               asm volatile ("inb %1, %b0" : "=a"(ret) : "d" (port));
-                break;
-        case 2:
-               asm volatile ("inw %1, %w0" : "=a"(ret) : "d" (port));
-                break;
-        case 4:
-               asm volatile ("inl %1, %0" : "=a"(ret) : "d" (port));
-                break;
-        default:
-                ret = 0;
-        }
-
-        return ret;
-}
-
-static int
-write_io(void *addr, unsigned int value, size_t sz)
-{
-       u16 port = (u16)(u32) addr;
-        switch (sz) {
-       /* since we are using inb instructions, we need the port number as 16bit value */
-        case 1:
-               asm volatile ("outb %b0, %1" : : "a"(value), "d" (port));
-                break;
-        case 2:
-               asm volatile ("outw %w0, %1" : : "a"(value), "d" (port));
-                break;
-        case 4:
-               asm volatile ("outl %0, %1" : : "a"(value), "d" (port));
-                break;
-        default:
-                return -1;
-        }
-
-        return 0;
-}
-
-#ifdef CONFIG_ARCH_X86
-#include <arch/io.h>
-#else
-// these are not used, only needed for linking,  must be overridden using X86emu_setupPioFuncs
-// with the functions and struct below
-void
-outb(u8 val, u16 port)
-{
-       printf("WARNING: outb not implemented!\n");
-       HALT_SYS();
-}
-
-void
-outw(u16 val, u16 port)
-{
-       printf("WARNING: outw not implemented!\n");
-       HALT_SYS();
-}
-
-void
-outl(u32 val, u16 port)
-{
-       printf("WARNING: outl not implemented!\n");
-       HALT_SYS();
-}
-
-u8
-inb(u16 port)
-{
-       printf("WARNING: inb not implemented!\n");
-       HALT_SYS();
-       return 0;
-}
-
-u16
-inw(u16 port)
-{
-       printf("WARNING: inw not implemented!\n");
-       HALT_SYS();
-       return 0;
-}
-
-u32
-inl(u16 port)
-{
-       printf("WARNING: inl not implemented!\n");
-       HALT_SYS();
-       return 0;
-}
-#endif
-
-#if defined(CONFIG_YABEL_DIRECTHW) && (CONFIG_YABEL_DIRECTHW == 1)
-u8 my_inb(X86EMU_pioAddr addr)
-{
-       u8 val;
-
-       val = inb(addr);
-       DEBUG_PRINTF_IO("inb(0x%04x) = 0x%02x\n", addr, val);
-
-       return val;
-}
-
-u16 my_inw(X86EMU_pioAddr addr)
-{
-       u16 val;
-
-       val = inw(addr);
-       DEBUG_PRINTF_IO("inw(0x%04x) = 0x%04x\n", addr, val);
-
-       return val;
-}
-
-u32 my_inl(X86EMU_pioAddr addr)
-{
-       u32 val;
-
-       val = inl(addr);
-       DEBUG_PRINTF_IO("inl(0x%04x) = 0x%08x\n", addr, val);
-
-       return val;
-}
-
-void my_outb(X86EMU_pioAddr addr, u8 val)
-{
-       DEBUG_PRINTF_IO("outb(0x%02x, 0x%04x)\n", val, addr);
-       outb(val, addr);
-}
-
-void my_outw(X86EMU_pioAddr addr, u16 val)
-{
-       DEBUG_PRINTF_IO("outw(0x%04x, 0x%04x)\n", val, addr);
-       outw(val, addr);
-}
-
-void my_outl(X86EMU_pioAddr addr, u32 val)
-{
-       DEBUG_PRINTF_IO("outl(0x%08x, 0x%04x)\n", val, addr);
-       outl(val, addr);
-}
-
-#else
-
-u32 pci_cfg_read(X86EMU_pioAddr addr, u8 size);
-void pci_cfg_write(X86EMU_pioAddr addr, u32 val, u8 size);
-u8 handle_port_61h(void);
-
-u8
-my_inb(X86EMU_pioAddr addr)
-{
-       u8 rval = 0xFF;
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       if (translated != 0) {
-               //translation successfull, access Device I/O (BAR or Legacy...)
-               DEBUG_PRINTF_IO("%s(%x): access to Device I/O\n", __func__,
-                               addr);
-               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
-               rval = read_io((void *)translated_addr, 1);
-               DEBUG_PRINTF_IO("%s(%04x) Device I/O --> %02x\n", __func__,
-                               addr, rval);
-               return rval;
-       } else {
-               switch (addr) {
-               case 0x61:
-                       //8254 KB Controller / Timer Port
-                       // rval = handle_port_61h();
-                       rval = inb(0x61);
-                       //DEBUG_PRINTF_IO("%s(%04x) KB / Timer Port B --> %02x\n", __func__, addr, rval);
-                       return rval;
-                       break;
-               case 0xCFC:
-               case 0xCFD:
-               case 0xCFE:
-               case 0xCFF:
-                       // PCI Config Mechanism 1 Ports
-                       return (u8) pci_cfg_read(addr, 1);
-                       break;
-               case 0x0a:
-                       CHECK_DBG(DEBUG_INTR) {
-                               X86EMU_trace_on();
-                       }
-                       M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F;
-                       //HALT_SYS();
-                       // no break, intentional fall-through to default!!
-               default:
-                       DEBUG_PRINTF_IO
-                           ("%s(%04x) reading from bios_device.io_buffer\n",
-                            __func__, addr);
-                       rval = *((u8 *) (bios_device.io_buffer + addr));
-                       DEBUG_PRINTF_IO("%s(%04x) I/O Buffer --> %02x\n",
-                                       __func__, addr, rval);
-                       return rval;
-                       break;
-               }
-       }
-}
-
-u16
-my_inw(X86EMU_pioAddr addr)
-{
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       if (translated != 0) {
-               //translation successfull, access Device I/O (BAR or Legacy...)
-               DEBUG_PRINTF_IO("%s(%x): access to Device I/O\n", __func__,
-                               addr);
-               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
-               u16 rval;
-               if ((translated_addr & (u64) 0x1) == 0) {
-                       // 16 bit aligned access...
-                       u16 tempval = read_io((void *)translated_addr, 2);
-                       //little endian conversion
-                       rval = in16le((void *) &tempval);
-               } else {
-                       // unaligned access, read single bytes, little-endian
-                       rval = (read_io((void *)translated_addr, 1) << 8)
-                               | (read_io((void *)(translated_addr + 1), 1));
-               }
-               DEBUG_PRINTF_IO("%s(%04x) Device I/O --> %04x\n", __func__,
-                               addr, rval);
-               return rval;
-       } else {
-               switch (addr) {
-               case 0xCFC:
-               case 0xCFE:
-                       //PCI Config Mechanism 1
-                       return (u16) pci_cfg_read(addr, 2);
-                       break;
-               default:
-                       DEBUG_PRINTF_IO
-                           ("%s(%04x) reading from bios_device.io_buffer\n",
-                            __func__, addr);
-                       u16 rval =
-                           in16le((void *) bios_device.io_buffer + addr);
-                       DEBUG_PRINTF_IO("%s(%04x) I/O Buffer --> %04x\n",
-                                       __func__, addr, rval);
-                       return rval;
-                       break;
-               }
-       }
-}
-
-u32
-my_inl(X86EMU_pioAddr addr)
-{
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       if (translated != 0) {
-               //translation successfull, access Device I/O (BAR or Legacy...)
-               DEBUG_PRINTF_IO("%s(%x): access to Device I/O\n", __func__,
-                               addr);
-               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
-               u32 rval;
-               if ((translated_addr & (u64) 0x3) == 0) {
-                       // 32 bit aligned access...
-                       u32 tempval = read_io((void *) translated_addr, 4);
-                       //little endian conversion
-                       rval = in32le((void *) &tempval);
-               } else {
-                       // unaligned access, read single bytes, little-endian
-                       rval = (read_io((void *)(translated_addr), 1) << 24)
-                               | (read_io((void *)(translated_addr + 1), 1) << 16)
-                               | (read_io((void *)(translated_addr + 2), 1) << 8)
-                               | (read_io((void *)(translated_addr + 3), 1));
-               }
-               DEBUG_PRINTF_IO("%s(%04x) Device I/O --> %08x\n", __func__,
-                               addr, rval);
-               return rval;
-       } else {
-               switch (addr) {
-               case 0xCFC:
-                       //PCI Config Mechanism 1
-                       return pci_cfg_read(addr, 4);
-                       break;
-               default:
-                       DEBUG_PRINTF_IO
-                           ("%s(%04x) reading from bios_device.io_buffer\n",
-                            __func__, addr);
-                       u32 rval =
-                           in32le((void *) bios_device.io_buffer + addr);
-                       DEBUG_PRINTF_IO("%s(%04x) I/O Buffer --> %08x\n",
-                                       __func__, addr, rval);
-                       return rval;
-                       break;
-               }
-       }
-}
-
-void
-my_outb(X86EMU_pioAddr addr, u8 val)
-{
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       if (translated != 0) {
-               //translation successfull, access Device I/O (BAR or Legacy...)
-               DEBUG_PRINTF_IO("%s(%x, %x): access to Device I/O\n",
-                               __func__, addr, val);
-               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
-               write_io((void *) translated_addr, val, 1);
-               DEBUG_PRINTF_IO("%s(%04x) Device I/O <-- %02x\n", __func__,
-                               addr, val);
-       } else {
-               switch (addr) {
-               case 0xCFC:
-               case 0xCFD:
-               case 0xCFE:
-               case 0xCFF:
-                       // PCI Config Mechanism 1 Ports
-                       pci_cfg_write(addr, val, 1);
-                       break;
-               default:
-                       DEBUG_PRINTF_IO
-                           ("%s(%04x,%02x) writing to bios_device.io_buffer\n",
-                            __func__, addr, val);
-                       *((u8 *) (bios_device.io_buffer + addr)) = val;
-                       break;
-               }
-       }
-}
-
-void
-my_outw(X86EMU_pioAddr addr, u16 val)
-{
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       if (translated != 0) {
-               //translation successfull, access Device I/O (BAR or Legacy...)
-               DEBUG_PRINTF_IO("%s(%x, %x): access to Device I/O\n",
-                               __func__, addr, val);
-               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
-               if ((translated_addr & (u64) 0x1) == 0) {
-                       // little-endian conversion
-                       u16 tempval = in16le((void *) &val);
-                       // 16 bit aligned access...
-                       write_io((void *) translated_addr, tempval, 2);
-               } else {
-                       // unaligned access, write single bytes, little-endian
-                       write_io(((void *) (translated_addr + 1)),
-                               (u8) ((val & 0xFF00) >> 8), 1);
-                       write_io(((void *) translated_addr),
-                               (u8) (val & 0x00FF), 1);
-               }
-               DEBUG_PRINTF_IO("%s(%04x) Device I/O <-- %04x\n", __func__,
-                               addr, val);
-       } else {
-               switch (addr) {
-               case 0xCFC:
-               case 0xCFE:
-                       // PCI Config Mechanism 1 Ports
-                       pci_cfg_write(addr, val, 2);
-                       break;
-               default:
-                       DEBUG_PRINTF_IO
-                           ("%s(%04x,%04x) writing to bios_device.io_buffer\n",
-                            __func__, addr, val);
-                       out16le((void *) bios_device.io_buffer + addr, val);
-                       break;
-               }
-       }
-}
-
-void
-my_outl(X86EMU_pioAddr addr, u32 val)
-{
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       if (translated != 0) {
-               //translation successfull, access Device I/O (BAR or Legacy...)
-               DEBUG_PRINTF_IO("%s(%x, %x): access to Device I/O\n",
-                               __func__, addr, val);
-               //DEBUG_PRINTF_IO("%s(%04x): translated_addr: %llx\n", __func__, addr, translated_addr);
-               if ((translated_addr & (u64) 0x3) == 0) {
-                       // little-endian conversion
-                       u32 tempval = in32le((void *) &val);
-                       // 32 bit aligned access...
-                       write_io((void *) translated_addr,  tempval, 4);
-               } else {
-                       // unaligned access, write single bytes, little-endian
-                       write_io(((void *) translated_addr + 3),
-                           (u8) ((val & 0xFF000000) >> 24), 1);
-                       write_io(((void *) translated_addr + 2),
-                           (u8) ((val & 0x00FF0000) >> 16), 1);
-                       write_io(((void *) translated_addr + 1),
-                           (u8) ((val & 0x0000FF00) >> 8), 1);
-                       write_io(((void *) translated_addr),
-                           (u8) (val & 0x000000FF), 1);
-               }
-               DEBUG_PRINTF_IO("%s(%04x) Device I/O <-- %08x\n", __func__,
-                               addr, val);
-       } else {
-               switch (addr) {
-               case 0xCFC:
-                       // PCI Config Mechanism 1 Ports
-                       pci_cfg_write(addr, val, 4);
-                       break;
-               default:
-                       DEBUG_PRINTF_IO
-                           ("%s(%04x,%08x) writing to bios_device.io_buffer\n",
-                            __func__, addr, val);
-                       out32le((void *) bios_device.io_buffer + addr, val);
-                       break;
-               }
-       }
-}
-
-u32
-pci_cfg_read(X86EMU_pioAddr addr, u8 size)
-{
-       u32 rval = 0xFFFFFFFF;
-       struct device * dev;
-       if ((addr >= 0xCFC) && ((addr + size) <= 0xD00)) {
-               // PCI Configuration Mechanism 1 step 1
-               // write to 0xCF8, sets bus, device, function and Config Space offset
-               // later read from 0xCFC-0xCFF returns the value...
-               u8 bus, devfn, offs;
-               u32 port_cf8_val = my_inl(0xCF8);
-               if ((port_cf8_val & 0x80000000) != 0) {
-                       //highest bit enables config space mapping
-                       bus = (port_cf8_val & 0x00FF0000) >> 16;
-                       devfn = (port_cf8_val & 0x0000FF00) >> 8;
-                       offs = (port_cf8_val & 0x000000FF);
-                       offs += (addr - 0xCFC); // if addr is not 0xcfc, the offset is moved accordingly
-                       DEBUG_PRINTF_INTR("%s(): PCI Config Read from device: bus: %02x, devfn: %02x, offset: %02x\n",
-                               __func__, bus, devfn, offs);
-#if defined(CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES) && CONFIG_YABEL_PCI_ACCESS_OTHER_DEVICES==1
-                       dev = dev_find_slot(bus, devfn);
-                       DEBUG_PRINTF_INTR("%s(): dev_find_slot() returned: %s\n",
-                               __func__, dev_path(dev));
-                       if (dev == 0) {
-                               // fail accesses to non-existent devices...
-#else
-                       dev = bios_device.dev;
-                       if ((bus != bios_device.bus)
-                            || (devfn != bios_device.devfn)) {
-                               // fail accesses to any device but ours...
-#endif
-                               printf
-                                   ("%s(): Config read access invalid device! bus: %02x (%02x), devfn: %02x (%02x), offs: %02x\n",
-                                    __func__, bus, bios_device.bus, devfn,
-                                    bios_device.devfn, offs);
-                               SET_FLAG(F_CF);
-                               HALT_SYS();
-                               return 0;
-                       } else {
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-                               switch (size) {
-                                       case 1:
-                                               rval = pci_read_config8(dev, offs);
-                                               break;
-                                       case 2:
-                                               rval = pci_read_config16(dev, offs);
-                                               break;
-                                       case 4:
-                                               rval = pci_read_config32(dev, offs);
-                                               break;
-                               }
-#else
-                               rval =
-                                   (u32) rtas_pci_config_read(bios_device.
-                                                                   puid, size,
-                                                                   bus, devfn,
-                                                                   offs);
-#endif
-                               DEBUG_PRINTF_IO
-                                   ("%s(%04x) PCI Config Read @%02x, size: %d --> 0x%08x\n",
-                                    __func__, addr, offs, size, rval);
-                       }
-               }
-       }
-       return rval;
-}
-
-void
-pci_cfg_write(X86EMU_pioAddr addr, u32 val, u8 size)
-{
-       if ((addr >= 0xCFC) && ((addr + size) <= 0xD00)) {
-               // PCI Configuration Mechanism 1 step 1
-               // write to 0xCF8, sets bus, device, function and Config Space offset
-               // later write to 0xCFC-0xCFF sets the value...
-               u8 bus, devfn, offs;
-               u32 port_cf8_val = my_inl(0xCF8);
-               if ((port_cf8_val & 0x80000000) != 0) {
-                       //highest bit enables config space mapping
-                       bus = (port_cf8_val & 0x00FF0000) >> 16;
-                       devfn = (port_cf8_val & 0x0000FF00) >> 8;
-                       offs = (port_cf8_val & 0x000000FF);
-                       offs += (addr - 0xCFC); // if addr is not 0xcfc, the offset is moved accordingly
-                       if ((bus != bios_device.bus)
-                           || (devfn != bios_device.devfn)) {
-                               // fail accesses to any device but ours...
-                               printf
-                                   ("Config write access invalid! PCI device %x:%x.%x, offs: %x\n",
-                                    bus, devfn >> 3, devfn & 7, offs);
-                               HALT_SYS();
-                       } else {
-#ifdef CONFIG_PCI_OPTION_ROM_RUN_YABEL
-                               switch (size) {
-                                       case 1:
-                                               pci_write_config8(bios_device.dev, offs, val);
-                                               break;
-                                       case 2:
-                                               pci_write_config16(bios_device.dev, offs, val);
-                                               break;
-                                       case 4:
-                                               pci_write_config32(bios_device.dev, offs, val);
-                                               break;
-                               }
-#else
-                               rtas_pci_config_write(bios_device.puid,
-                                                     size, bus, devfn, offs,
-                                                     val);
-#endif
-                               DEBUG_PRINTF_IO
-                                   ("%s(%04x) PCI Config Write @%02x, size: %d <-- 0x%08x\n",
-                                    __func__, addr, offs, size, val);
-                       }
-               }
-       }
-}
-
-u8
-handle_port_61h(void)
-{
-       static u64 last_time = 0;
-       u64 curr_time = get_time();
-       u64 time_diff;  // time since last call
-       u32 period_ticks;       // length of a period in ticks
-       u32 nr_periods; //number of periods passed since last call
-       // bit 4 should toggle with every (DRAM) refresh cycle... (66kHz??)
-       time_diff = curr_time - last_time;
-       // at 66kHz a period is ~ 15 ns long, converted to ticks: (tb_freq is ticks/second)
-       // TODO: as long as the frequency does not change, we should not calculate this every time
-       period_ticks = (15 * tb_freq) / 1000000;
-       nr_periods = time_diff / period_ticks;
-       // if the number if ticks passed since last call is odd, we toggle bit 4
-       if ((nr_periods % 2) != 0) {
-               *((u8 *) (bios_device.io_buffer + 0x61)) ^= 0x10;
-       }
-       //finally read the value from the io_buffer
-       return *((u8 *) (bios_device.io_buffer + 0x61));
-}
-#endif
diff --git a/util/x86emu/yabel/io.h b/util/x86emu/yabel/io.h
deleted file mode 100644 (file)
index 6b2dcc4..0000000
+++ /dev/null
@@ -1,30 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-#ifndef _BIOSEMU_IO_H_
-#define _BIOSEMU_IO_H_
-#include <x86emu/x86emu.h>
-#include <types.h>
-
-u8 my_inb(X86EMU_pioAddr addr);
-
-u16 my_inw(X86EMU_pioAddr addr);
-
-u32 my_inl(X86EMU_pioAddr addr);
-
-void my_outb(X86EMU_pioAddr addr, u8 val);
-
-void my_outw(X86EMU_pioAddr addr, u16 val);
-
-void my_outl(X86EMU_pioAddr addr, u32 val);
-
-#endif
diff --git a/util/x86emu/yabel/mem.c b/util/x86emu/yabel/mem.c
deleted file mode 100644 (file)
index 8bcc9e1..0000000
+++ /dev/null
@@ -1,493 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * Copyright (c) 2009 Pattrick Hueper <phueper@hueper.net>
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-#include <types.h>
-#include "debug.h"
-#include "device.h"
-#include "x86emu/x86emu.h"
-#include "biosemu.h"
-#include "mem.h"
-#include "compat/time.h"
-
-// define a check for access to certain (virtual) memory regions (interrupt handlers, BIOS Data Area, ...)
-#if CONFIG_X86EMU_DEBUG
-static u8 in_check = 0;        // to avoid recursion...
-u16 ebda_segment;
-u32 ebda_size;
-
-//TODO: these macros have grown so large, that they should be changed to an inline function,
-//just for the sake of readability...
-
-#define DEBUG_CHECK_VMEM_READ(_addr, _rval) \
-   if ((debug_flags & DEBUG_CHECK_VMEM_ACCESS) && (in_check == 0)) { \
-         in_check = 1; \
-         /* determine ebda_segment and size \
-          * since we are using my_rdx calls, make sure, this is after setting in_check! */ \
-         /* offset 03 in BDA is EBDA segment */ \
-         ebda_segment = my_rdw(0x40e); \
-         /* first value in ebda is size in KB */ \
-         ebda_size = my_rdb(ebda_segment << 4) * 1024; \
-                       /* check Interrupt Vector Access (0000:0000h - 0000:0400h) */ \
-                       if (_addr < 0x400) { \
-                               DEBUG_PRINTF_CS_IP("%s: read from Interrupt Vector %x --> %x\n", \
-                                               __func__, _addr / 4, _rval); \
-                       } \
-                       /* access to BIOS Data Area (0000:0400h - 0000:0500h)*/ \
-                       else if ((_addr >= 0x400) && (addr < 0x500)) { \
-                               DEBUG_PRINTF_CS_IP("%s: read from BIOS Data Area: addr: %x --> %x\n", \
-                                               __func__, _addr, _rval); \
-                               /* dump registers */ \
-                               /* x86emu_dump_xregs(); */ \
-                       } \
-                       /* access to first 64k of memory... */ \
-                       else if (_addr < 0x10000) { \
-                               DEBUG_PRINTF_CS_IP("%s: read from segment 0000h: addr: %x --> %x\n", \
-                                               __func__, _addr, _rval); \
-                               /* dump registers */ \
-                               /* x86emu_dump_xregs(); */ \
-                       } \
-                       /* read from PMM_CONV_SEGMENT */ \
-                       else if ((_addr <= ((PMM_CONV_SEGMENT << 4) | 0xffff)) && (_addr >= (PMM_CONV_SEGMENT << 4))) { \
-                               DEBUG_PRINTF_CS_IP("%s: read from PMM Segment %04xh: addr: %x --> %x\n", \
-                                               __func__, PMM_CONV_SEGMENT, _addr, _rval); \
-                               /* HALT_SYS(); */ \
-                               /* dump registers */ \
-                               /* x86emu_dump_xregs(); */ \
-                       } \
-                       /* read from PNP_DATA_SEGMENT */ \
-                       else if ((_addr <= ((PNP_DATA_SEGMENT << 4) | 0xffff)) && (_addr >= (PNP_DATA_SEGMENT << 4))) { \
-                               DEBUG_PRINTF_CS_IP("%s: read from PnP Data Segment %04xh: addr: %x --> %x\n", \
-                                               __func__, PNP_DATA_SEGMENT, _addr, _rval); \
-                               /* HALT_SYS(); */ \
-                               /* dump registers */ \
-                               /* x86emu_dump_xregs(); */ \
-                       } \
-                       /* read from EBDA Segment */ \
-                       else if ((_addr <= ((ebda_segment << 4) | (ebda_size - 1))) && (_addr >= (ebda_segment << 4))) { \
-                               DEBUG_PRINTF_CS_IP("%s: read from Extended BIOS Data Area %04xh, size: %04x: addr: %x --> %x\n", \
-                                               __func__, ebda_segment, ebda_size, _addr, _rval); \
-                       } \
-                       /* read from BIOS_DATA_SEGMENT */ \
-                       else if ((_addr <= ((BIOS_DATA_SEGMENT << 4) | 0xffff)) && (_addr >= (BIOS_DATA_SEGMENT << 4))) { \
-                               DEBUG_PRINTF_CS_IP("%s: read from BIOS Data Segment %04xh: addr: %x --> %x\n", \
-                                               __func__, BIOS_DATA_SEGMENT, _addr, _rval); \
-                               /* for PMM debugging */ \
-                               /*if (_addr == BIOS_DATA_SEGMENT << 4) { \
-                                       X86EMU_trace_on(); \
-                                       M.x86.debug &= ~DEBUG_DECODE_NOPRINT_F; \
-                               }*/ \
-                               /* dump registers */ \
-                               /* x86emu_dump_xregs(); */ \
-                       } \
-         in_check = 0; \
-   }
-#define DEBUG_CHECK_VMEM_WRITE(_addr, _val) \
-   if ((debug_flags & DEBUG_CHECK_VMEM_ACCESS) && (in_check == 0)) { \
-         in_check = 1; \
-         /* determine ebda_segment and size \
-          * since we are using my_rdx calls, make sure, this is after setting in_check! */ \
-         /* offset 03 in BDA is EBDA segment */ \
-         ebda_segment = my_rdw(0x40e); \
-         /* first value in ebda is size in KB */ \
-         ebda_size = my_rdb(ebda_segment << 4) * 1024; \
-                       /* check Interrupt Vector Access (0000:0000h - 0000:0400h) */ \
-                       if (_addr < 0x400) { \
-                               DEBUG_PRINTF_CS_IP("%s: write to Interrupt Vector %x <-- %x\n", \
-                                               __func__, _addr / 4, _val); \
-                       } \
-                       /* access to BIOS Data Area (0000:0400h - 0000:0500h)*/ \
-                       else if ((_addr >= 0x400) && (addr < 0x500)) { \
-                               DEBUG_PRINTF_CS_IP("%s: write to BIOS Data Area: addr: %x <-- %x\n", \
-                                               __func__, _addr, _val); \
-                               /* dump registers */ \
-                               /* x86emu_dump_xregs(); */ \
-                       } \
-                       /* access to first 64k of memory...*/ \
-                       else if (_addr < 0x10000) { \
-                               DEBUG_PRINTF_CS_IP("%s: write to segment 0000h: addr: %x <-- %x\n", \
-                                               __func__, _addr, _val); \
-                               /* dump registers */ \
-                               /* x86emu_dump_xregs(); */ \
-                       } \
-                       /* write to PMM_CONV_SEGMENT... */ \
-                       else if ((_addr <= ((PMM_CONV_SEGMENT << 4) | 0xffff)) && (_addr >= (PMM_CONV_SEGMENT << 4))) { \
-                               DEBUG_PRINTF_CS_IP("%s: write to PMM Segment %04xh: addr: %x <-- %x\n", \
-                                               __func__, PMM_CONV_SEGMENT, _addr, _val); \
-                               /* dump registers */ \
-                               /* x86emu_dump_xregs(); */ \
-                       } \
-                       /* write to PNP_DATA_SEGMENT... */ \
-                       else if ((_addr <= ((PNP_DATA_SEGMENT << 4) | 0xffff)) && (_addr >= (PNP_DATA_SEGMENT << 4))) { \
-                               DEBUG_PRINTF_CS_IP("%s: write to PnP Data Segment %04xh: addr: %x <-- %x\n", \
-                                               __func__, PNP_DATA_SEGMENT, _addr, _val); \
-                               /* dump registers */ \
-                               /* x86emu_dump_xregs(); */ \
-                       } \
-                       /* write to EBDA Segment... */ \
-                       else if ((_addr <= ((ebda_segment << 4) | (ebda_size - 1))) && (_addr >= (ebda_segment << 4))) { \
-                               DEBUG_PRINTF_CS_IP("%s: write to Extended BIOS Data Area %04xh, size: %04x: addr: %x <-- %x\n", \
-                                               __func__, ebda_segment, ebda_size, _addr, _val); \
-                       } \
-                       /* write to BIOS_DATA_SEGMENT... */ \
-                       else if ((_addr <= ((BIOS_DATA_SEGMENT << 4) | 0xffff)) && (_addr >= (BIOS_DATA_SEGMENT << 4))) { \
-                               DEBUG_PRINTF_CS_IP("%s: write to BIOS Data Segment %04xh: addr: %x <-- %x\n", \
-                                               __func__, BIOS_DATA_SEGMENT, _addr, _val); \
-                               /* dump registers */ \
-                               /* x86emu_dump_xregs(); */ \
-                       } \
-                       /* write to current CS segment... */ \
-                       else if ((_addr < ((M.x86.R_CS << 4) | 0xffff)) && (_addr > (M.x86.R_CS << 4))) { \
-                               DEBUG_PRINTF_CS_IP("%s: write to CS segment %04xh: addr: %x <-- %x\n", \
-                                               __func__, M.x86.R_CS, _addr, _val); \
-                               /* dump registers */ \
-                               /* x86emu_dump_xregs(); */ \
-                       } \
-         in_check = 0; \
-   }
-#else
-#define DEBUG_CHECK_VMEM_READ(_addr, _rval)
-#define DEBUG_CHECK_VMEM_WRITE(_addr, _val)
-#endif
-
-void update_time(u32);
-
-#if !defined(CONFIG_YABEL_DIRECTHW) || (!CONFIG_YABEL_DIRECTHW)
-// read byte from memory
-u8
-my_rdb(u32 addr)
-{
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       u8 rval;
-       if (translated != 0) {
-               //translation successfull, access VGA Memory (BAR or Legacy...)
-               DEBUG_PRINTF_MEM("%s(%08x): access to VGA Memory\n",
-                                __func__, addr);
-               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __func__, addr, translated_addr);
-               set_ci();
-               rval = *((u8 *) translated_addr);
-               clr_ci();
-               DEBUG_PRINTF_MEM("%s(%08x) VGA --> %02x\n", __func__, addr,
-                                rval);
-               return rval;
-       } else if (addr > M.mem_size) {
-               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
-                            __func__, addr);
-               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
-               HALT_SYS();
-       } else {
-               /* read from virtual memory */
-               rval = *((u8 *) (M.mem_base + addr));
-               DEBUG_CHECK_VMEM_READ(addr, rval);
-               return rval;
-       }
-       return -1;
-}
-
-//read word from memory
-u16
-my_rdw(u32 addr)
-{
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       u16 rval;
-       if (translated != 0) {
-               //translation successfull, access VGA Memory (BAR or Legacy...)
-               DEBUG_PRINTF_MEM("%s(%08x): access to VGA Memory\n",
-                                __func__, addr);
-               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __func__, addr, translated_addr);
-               // check for legacy memory, because of the remapping to BARs, the reads must
-               // be byte reads...
-               if ((addr >= 0xa0000) && (addr < 0xc0000)) {
-                       //read bytes a using my_rdb, because of the remapping to BARs
-                       //words may not be contiguous in memory, so we need to translate
-                       //every address...
-                       rval = ((u8) my_rdb(addr)) |
-                           (((u8) my_rdb(addr + 1)) << 8);
-               } else {
-                       if ((translated_addr & (u64) 0x1) == 0) {
-                               // 16 bit aligned access...
-                               set_ci();
-                               rval = in16le((void *) translated_addr);
-                               clr_ci();
-                       } else {
-                               // unaligned access, read single bytes
-                               set_ci();
-                               rval = (*((u8 *) translated_addr)) |
-                                   (*((u8 *) translated_addr + 1) << 8);
-                               clr_ci();
-                       }
-               }
-               DEBUG_PRINTF_MEM("%s(%08x) VGA --> %04x\n", __func__, addr,
-                                rval);
-               return rval;
-       } else if (addr > M.mem_size) {
-               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
-                            __func__, addr);
-               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
-               HALT_SYS();
-       } else {
-               /* read from virtual memory */
-               rval = in16le((void *) (M.mem_base + addr));
-               DEBUG_CHECK_VMEM_READ(addr, rval);
-               return rval;
-       }
-       return -1;
-}
-
-//read long from memory
-u32
-my_rdl(u32 addr)
-{
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       u32 rval;
-       if (translated != 0) {
-               //translation successfull, access VGA Memory (BAR or Legacy...)
-               DEBUG_PRINTF_MEM("%s(%x): access to VGA Memory\n",
-                                __func__, addr);
-               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __func__, addr, translated_addr);
-               // check for legacy memory, because of the remapping to BARs, the reads must
-               // be byte reads...
-               if ((addr >= 0xa0000) && (addr < 0xc0000)) {
-                       //read bytes a using my_rdb, because of the remapping to BARs
-                       //dwords may not be contiguous in memory, so we need to translate
-                       //every address...
-                       rval = ((u8) my_rdb(addr)) |
-                           (((u8) my_rdb(addr + 1)) << 8) |
-                           (((u8) my_rdb(addr + 2)) << 16) |
-                           (((u8) my_rdb(addr + 3)) << 24);
-               } else {
-                       if ((translated_addr & (u64) 0x3) == 0) {
-                               // 32 bit aligned access...
-                               set_ci();
-                               rval = in32le((void *) translated_addr);
-                               clr_ci();
-                       } else {
-                               // unaligned access, read single bytes
-                               set_ci();
-                               rval = (*((u8 *) translated_addr)) |
-                                   (*((u8 *) translated_addr + 1) << 8) |
-                                   (*((u8 *) translated_addr + 2) << 16) |
-                                   (*((u8 *) translated_addr + 3) << 24);
-                               clr_ci();
-                       }
-               }
-               DEBUG_PRINTF_MEM("%s(%08x) VGA --> %08x\n", __func__, addr,
-                                rval);
-               //HALT_SYS();
-               return rval;
-       } else if (addr > M.mem_size) {
-               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
-                            __func__, addr);
-               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
-               HALT_SYS();
-       } else {
-               /* read from virtual memory */
-               rval = in32le((void *) (M.mem_base + addr));
-               switch (addr) {
-               case 0x46c:
-                       //BDA Time Data, update it, before reading
-                       update_time(rval);
-                       rval = in32le((void *) (M.mem_base + addr));
-                       break;
-               }
-               DEBUG_CHECK_VMEM_READ(addr, rval);
-               return rval;
-       }
-       return -1;
-}
-
-//write byte to memory
-void
-my_wrb(u32 addr, u8 val)
-{
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       if (translated != 0) {
-               //translation successfull, access VGA Memory (BAR or Legacy...)
-               DEBUG_PRINTF_MEM("%s(%x, %x): access to VGA Memory\n",
-                                __func__, addr, val);
-               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __func__, addr, translated_addr);
-               set_ci();
-               *((u8 *) translated_addr) = val;
-               clr_ci();
-       } else if (addr > M.mem_size) {
-               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
-                            __func__, addr);
-               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
-               HALT_SYS();
-       } else {
-               /* write to virtual memory */
-               DEBUG_CHECK_VMEM_WRITE(addr, val);
-               *((u8 *) (M.mem_base + addr)) = val;
-       }
-}
-
-void
-my_wrw(u32 addr, u16 val)
-{
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       if (translated != 0) {
-               //translation successfull, access VGA Memory (BAR or Legacy...)
-               DEBUG_PRINTF_MEM("%s(%x, %x): access to VGA Memory\n",
-                                __func__, addr, val);
-               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n", __func__, addr, translated_addr);
-               // check for legacy memory, because of the remapping to BARs, the reads must
-               // be byte reads...
-               if ((addr >= 0xa0000) && (addr < 0xc0000)) {
-                       //read bytes a using my_rdb, because of the remapping to BARs
-                       //words may not be contiguous in memory, so we need to translate
-                       //every address...
-                       my_wrb(addr, (u8) (val & 0x00FF));
-                       my_wrb(addr + 1, (u8) ((val & 0xFF00) >> 8));
-               } else {
-                       if ((translated_addr & (u64) 0x1) == 0) {
-                               // 16 bit aligned access...
-                               set_ci();
-                               out16le((void *) translated_addr, val);
-                               clr_ci();
-                       } else {
-                               // unaligned access, write single bytes
-                               set_ci();
-                               *((u8 *) translated_addr) =
-                                   (u8) (val & 0x00FF);
-                               *((u8 *) translated_addr + 1) =
-                                   (u8) ((val & 0xFF00) >> 8);
-                               clr_ci();
-                       }
-               }
-       } else if (addr > M.mem_size) {
-               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
-                            __func__, addr);
-               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
-               HALT_SYS();
-       } else {
-               /* write to virtual memory */
-               DEBUG_CHECK_VMEM_WRITE(addr, val);
-               out16le((void *) (M.mem_base + addr), val);
-       }
-}
-void
-my_wrl(u32 addr, u32 val)
-{
-       unsigned long translated_addr = addr;
-       u8 translated = biosemu_dev_translate_address(&translated_addr);
-       if (translated != 0) {
-               //translation successfull, access VGA Memory (BAR or Legacy...)
-               DEBUG_PRINTF_MEM("%s(%x, %x): access to VGA Memory\n",
-                                __func__, addr, val);
-               //DEBUG_PRINTF_MEM("%s(%08x): translated_addr: %llx\n",  __func__, addr, translated_addr);
-               // check for legacy memory, because of the remapping to BARs, the reads must
-               // be byte reads...
-               if ((addr >= 0xa0000) && (addr < 0xc0000)) {
-                       //read bytes a using my_rdb, because of the remapping to BARs
-                       //words may not be contiguous in memory, so we need to translate
-                       //every address...
-                       my_wrb(addr, (u8) (val & 0x000000FF));
-                       my_wrb(addr + 1, (u8) ((val & 0x0000FF00) >> 8));
-                       my_wrb(addr + 2, (u8) ((val & 0x00FF0000) >> 16));
-                       my_wrb(addr + 3, (u8) ((val & 0xFF000000) >> 24));
-               } else {
-                       if ((translated_addr & (u64) 0x3) == 0) {
-                               // 32 bit aligned access...
-                               set_ci();
-                               out32le((void *) translated_addr, val);
-                               clr_ci();
-                       } else {
-                               // unaligned access, write single bytes
-                               set_ci();
-                               *((u8 *) translated_addr) =
-                                   (u8) (val & 0x000000FF);
-                               *((u8 *) translated_addr + 1) =
-                                   (u8) ((val & 0x0000FF00) >> 8);
-                               *((u8 *) translated_addr + 2) =
-                                   (u8) ((val & 0x00FF0000) >> 16);
-                               *((u8 *) translated_addr + 3) =
-                                   (u8) ((val & 0xFF000000) >> 24);
-                               clr_ci();
-                       }
-               }
-       } else if (addr > M.mem_size) {
-               DEBUG_PRINTF("%s(%08x): Memory Access out of range!\n",
-                            __func__, addr);
-               //disassemble_forward(M.x86.saved_cs, M.x86.saved_ip, 1);
-               HALT_SYS();
-       } else {
-               /* write to virtual memory */
-               DEBUG_CHECK_VMEM_WRITE(addr, val);
-               out32le((void *) (M.mem_base + addr), val);
-       }
-}
-#else
-u8
-my_rdb(u32 addr)
-{
-       return rdb(addr);
-}
-
-u16
-my_rdw(u32 addr)
-{
-       return rdw(addr);
-}
-
-u32
-my_rdl(u32 addr)
-{
-       return rdl(addr);
-}
-
-void
-my_wrb(u32 addr, u8 val)
-{
-       wrb(addr, val);
-}
-
-void
-my_wrw(u32 addr, u16 val)
-{
-       wrw(addr, val);
-}
-
-void
-my_wrl(u32 addr, u32 val)
-{
-       wrl(addr, val);
-}
-#endif
-
-//update time in BIOS Data Area
-//DWord at offset 0x6c is the timer ticks since midnight, timer is running at 18Hz
-//byte at 0x70 is timer overflow (set if midnight passed since last call to interrupt 1a function 00
-//cur_val is the current value, of offset 6c...
-void
-update_time(u32 cur_val)
-{
-       //for convenience, we let the start of timebase be at midnight, we currently dont support
-       //real daytime anyway...
-       u64 ticks_per_day = tb_freq * 60 * 24;
-       // at 18Hz a period is ~55ms, converted to ticks (tb_freq is ticks/second)
-       u32 period_ticks = (55 * tb_freq) / 1000;
-       u64 curr_time = get_time();
-       u64 ticks_since_midnight = curr_time % ticks_per_day;
-       u32 periods_since_midnight = ticks_since_midnight / period_ticks;
-       // if periods since midnight is smaller than last value, set overflow
-       // at BDA Offset 0x70
-       if (periods_since_midnight < cur_val) {
-               my_wrb(0x470, 1);
-       }
-       // store periods since midnight at BDA offset 0x6c
-       my_wrl(0x46c, periods_since_midnight);
-}
diff --git a/util/x86emu/yabel/mem.h b/util/x86emu/yabel/mem.h
deleted file mode 100644 (file)
index dca8cfc..0000000
+++ /dev/null
@@ -1,36 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-#ifndef _BIOSEMU_MEM_H_
-#define _BIOSEMU_MEM_H_
-#include <x86emu/x86emu.h>
-#include <types.h>
-
-// read byte from memory
-u8 my_rdb(u32 addr);
-
-//read word from memory
-u16 my_rdw(u32 addr);
-
-//read long from memory
-u32 my_rdl(u32 addr);
-
-//write byte to memory
-void my_wrb(u32 addr, u8 val);
-
-//write word to memory
-void my_wrw(u32 addr, u16 val);
-
-//write long to memory
-void my_wrl(u32 addr, u32 val);
-
-#endif
diff --git a/util/x86emu/yabel/pmm.c b/util/x86emu/yabel/pmm.c
deleted file mode 100644 (file)
index ad4dc68..0000000
+++ /dev/null
@@ -1,442 +0,0 @@
-/****************************************************************************
- * YABEL BIOS Emulator
- *
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Copyright (c) 2008 Pattrick Hueper <phueper@hueper.net>
- ****************************************************************************/
-
-#include <x86emu/x86emu.h>
-#include "../x86emu/prim_ops.h"
-#include <string.h>
-
-#include "biosemu.h"
-#include "pmm.h"
-#include "debug.h"
-#include "device.h"
-
-/* this struct is used to remember which PMM spaces
- * have been assigned. MAX_PMM_AREAS defines how many 
- * PMM areas we can assign. 
- * All areas are assigned in PMM_CONV_SEGMENT
- */
-typedef struct {
-       u32 handle;             /* handle that is returned to PMM caller */
-       u32 offset;             /* in PMM_CONV_SEGMENT */
-       u32 length;             /* length of this area */
-} pmm_allocation_t;
-
-#define MAX_PMM_AREAS 10
-
-/* array to store the above structs */
-static pmm_allocation_t pmm_allocation_array[MAX_PMM_AREAS];
-
-/* index into pmm_allocation_array */
-static u32 curr_pmm_allocation_index = 0;
-
-/* This function is used to setup the PMM struct in virtual memory 
- * at a certain offset, the length of the PMM struct is returned */
-u8 pmm_setup(u16 segment, u16 offset)
-{
-       /* setup the PMM structure */
-       pmm_information_t *pis =
-           (pmm_information_t *) (M.mem_base + (((u32) segment) << 4) +
-                                  offset);
-       memset(pis, 0, sizeof(pmm_information_t));
-       /* set signature to $PMM */
-       pis->signature[0] = '$';
-       pis->signature[1] = 'P';
-       pis->signature[2] = 'M';
-       pis->signature[3] = 'M';
-       /* revision as specified */
-       pis->struct_rev = 0x01;
-       /* internal length, excluding code */
-       pis->length = ((void *)&(pis->code) - (void *)&(pis->signature));
-       /* the code to be executed, pointed to by entry_point_offset */
-       pis->code[0] = 0xCD;    /* INT */
-       pis->code[1] = PMM_INT_NUM;     /* my selfdefined PMM INT number */
-       pis->code[2] = 0xCB;    /* RETF */
-       /* set the entry_point_offset, it should point to pis->code, segment is the segment of
-        * this struct. Since pis->length is the length of the struct excluding code, offset+pis->length
-        * points to the code... it's that simple ;-)
-        */
-       out32le(&(pis->entry_point_offset),
-               (u32) segment << 16 | (u32) (offset + pis->length));
-       /* checksum calculation */
-       u8 i;
-       u8 checksum = 0;
-       for (i = 0; i < pis->length; i++) {
-               checksum += *(((u8 *) pis) + i);
-       }
-       pis->checksum = ((u8) 0) - checksum;
-       CHECK_DBG(DEBUG_PMM) {
-               DEBUG_PRINTF_PMM("PMM Structure:\n");
-               dump((void *)pis, sizeof(pmm_information_t));
-       }
-       return sizeof(pmm_information_t);
-}
-
-/* handle the selfdefined interrupt, this is executed, when the PMM Entry Point 
- * is executed, it must handle all PMM requests
- */
-void pmm_handleInt()
-{
-       u32 rval = 0;
-       u16 function, flags;
-       u32 handle, length;
-       u32 i, j;
-       u32 buffer;
-       /* !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
-        * according to the PMM Spec "the flags and all registers, except DX and AX
-        * are preserved across calls to PMM"
-        * so we save M.x86 and in :exit label we restore it, however, this means that no
-        * returns must be used in this function, any exit must use goto exit!
-        * !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
-        */
-       X86EMU_regs backup_regs = M.x86;
-       pop_long();             /* pop the return address, this is already saved in INT handler, we don't need
-                                  to remember this. */
-       function = pop_word();
-       switch (function) {
-       case 0:
-               /* function pmmAllocate */
-               length = pop_long();
-               length *= 16;   /* length is passed in "paragraphs" of 16 bytes each */
-               handle = pop_long();
-               flags = pop_word();
-               DEBUG_PRINTF_PMM
-                   ("%s: pmmAllocate: Length: %x, Handle: %x, Flags: %x\n",
-                    __func__, length, handle, flags);
-               if ((flags & 0x1) != 0) {
-                       /* request to allocate in  conventional memory */
-                       if (curr_pmm_allocation_index >= MAX_PMM_AREAS) {
-                               printf
-                                   ("%s: pmmAllocate: Maximum Number of allocatable areas reached (%d), cannot allocate more memory!\n",
-                                    __func__, MAX_PMM_AREAS);
-                               rval = 0;
-                               goto exit;
-                       }
-                       /* some ROMs seem to be confused by offset 0, so lets start at 0x100 */
-                       u32 next_offset = 0x100;
-                       pmm_allocation_t *pmm_alloc =
-                           &(pmm_allocation_array[curr_pmm_allocation_index]);
-                       if (curr_pmm_allocation_index != 0) {
-                               /* we have already allocated... get the new next_offset
-                                * from the previous pmm_allocation_t */
-                               next_offset =
-                                   pmm_allocation_array
-                                   [curr_pmm_allocation_index - 1].offset +
-                                   pmm_allocation_array
-                                   [curr_pmm_allocation_index - 1].length;
-                       }
-                       DEBUG_PRINTF_PMM("%s: next_offset: 0x%x\n",
-                                        __func__, next_offset);
-                       if (length == 0) {
-                               /* largest possible block size requested, we have on segment
-                                * to allocate, so largest possible is segment size (0xFFFF) 
-                                * minus next_offset
-                                */
-                               rval = 0xFFFF - next_offset;
-                               goto exit;
-                       }
-                       u32 align = 0;
-                       if (((flags & 0x4) != 0) && (length > 0)) {
-                               /* align to least significant bit set in length param */
-                               u8 lsb = 0;
-                               while (((length >> lsb) & 0x1) == 0) {
-                                       lsb++;
-                               }
-                               align = 1 << lsb;
-                       }
-                       /* always align at least to paragraph (16byte) boundary 
-                        * hm... since the length is always in paragraphs, we cannot
-                        * align outside of paragraphs anyway... so this check might
-                        * be unnecessary...*/
-                       if (align < 0x10) {
-                               align = 0x10;
-                       }
-                       DEBUG_PRINTF_PMM("%s: align: 0x%x\n", __func__,
-                                        align);
-                       if ((next_offset & (align - 1)) != 0) {
-                               /* not yet aligned... align! */
-                               next_offset += align;
-                               next_offset &= ~(align - 1);
-                       }
-                       if ((next_offset + length) > 0xFFFF) {
-                               rval = 0;
-                               printf
-                                   ("%s: pmmAllocate: Not enough memory available for allocation!\n",
-                                    __func__);
-                               goto exit;
-                       }
-                       curr_pmm_allocation_index++;
-                       /* remember the values in pmm_allocation_array */
-                       pmm_alloc->handle = handle;
-                       pmm_alloc->offset = next_offset;
-                       pmm_alloc->length = length;
-                       /* return the 32bit "physical" address, i.e. combination of segment and offset */
-                       rval = ((u32) (PMM_CONV_SEGMENT << 16)) | next_offset;
-                       DEBUG_PRINTF_PMM
-                           ("%s: pmmAllocate: allocated memory at %x\n",
-                            __func__, rval);
-               } else {
-                       rval = 0;
-                       printf
-                           ("%s: pmmAllocate: allocation in extended memory not supported!\n",
-                            __func__);
-               }
-               goto exit;
-       case 1:
-               /* function pmmFind */
-               handle = pop_long();    /* the handle to lookup */
-               DEBUG_PRINTF_PMM("%s: pmmFind: Handle: %x\n", __func__,
-                                handle);
-               i = 0;
-               for (i = 0; i < curr_pmm_allocation_index; i++) {
-                       if (pmm_allocation_array[i].handle == handle) {
-                               DEBUG_PRINTF_PMM
-                                   ("%s: pmmFind: found allocated memory at %x\n",
-                                    __func__, rval);
-                               /* return the 32bit "physical" address, i.e. combination of segment and offset */
-                               rval =
-                                   ((u32) (PMM_CONV_SEGMENT << 16)) |
-                                   pmm_allocation_array[i].offset;
-                       }
-               }
-               if (rval == 0) {
-                       DEBUG_PRINTF_PMM
-                           ("%s: pmmFind: handle (%x) not found!\n",
-                            __func__, handle);
-               }
-               goto exit;
-       case 2:
-               /* function pmmDeallocate */
-               buffer = pop_long();
-               /* since argument is the address of the PMM block (including the segment,
-                * we need to remove the segment to get the offset
-                */
-               buffer = buffer ^ ((u32) PMM_CONV_SEGMENT << 16);
-               DEBUG_PRINTF_PMM("%s: pmmDeallocate: PMM segment offset: %x\n",
-                                __func__, buffer);
-               i = 0;
-               /* rval = 0 means we deallocated the buffer, so set it to 1 in case we dont find it and
-                * thus cannot deallocate
-                */
-               rval = 1;
-               for (i = 0; i < curr_pmm_allocation_index; i++) {
-                       DEBUG_PRINTF_PMM("%d: %x\n", i,
-                                        pmm_allocation_array[i].handle);
-                       if (pmm_allocation_array[i].offset == buffer) {
-                               /* we found the requested buffer, rval = 0 */
-                               rval = 0;
-                               DEBUG_PRINTF_PMM
-                                   ("%s: pmmDeallocate: found allocated memory at index: %d\n",
-                                    __func__, i);
-                               /* copy the remaining elements in pmm_allocation_array one position up */
-                               j = i;
-                               for (; j < curr_pmm_allocation_index; j++) {
-                                       pmm_allocation_array[j] =
-                                           pmm_allocation_array[j + 1];
-                               }
-                               /* move curr_pmm_allocation_index one up, too */
-                               curr_pmm_allocation_index--;
-                               /* finally clean last element */
-                               pmm_allocation_array[curr_pmm_allocation_index].
-                                   handle = 0;
-                               pmm_allocation_array[curr_pmm_allocation_index].
-                                   offset = 0;
-                               pmm_allocation_array[curr_pmm_allocation_index].
-                                   length = 0;
-                               break;
-                       }
-               }
-               if (rval != 0) {
-                       DEBUG_PRINTF_PMM
-                           ("%s: pmmDeallocate: offset (%x) not found, cannot deallocate!\n",
-                            __func__, buffer);
-               }
-               goto exit;
-       default:
-               /* invalid/unimplemented function */
-               printf("%s: invalid PMM function (0x%04x) called!\n",
-                      __func__, function);
-               /* PMM spec says if function is invalid, return 0xFFFFFFFF */
-               rval = 0xFFFFFFFF;
-               goto exit;
-       }
-      exit:
-       /* exit handler of this function, restore registers, put return value in DX:AX */
-       M.x86 = backup_regs;
-       M.x86.R_DX = (u16) ((rval >> 16) & 0xFFFF);
-       M.x86.R_AX = (u16) (rval & 0xFFFF);
-       CHECK_DBG(DEBUG_PMM) {
-               DEBUG_PRINTF_PMM("%s: dump of pmm_allocation_array:\n",
-                                __func__);
-               for (i = 0; i < MAX_PMM_AREAS; i++) {
-                       DEBUG_PRINTF_PMM
-                           ("%d:\n\thandle: %x\n\toffset: %x\n\tlength: %x\n",
-                            i, pmm_allocation_array[i].handle,
-                            pmm_allocation_array[i].offset,
-                            pmm_allocation_array[i].length);
-               }
-       }
-       return;
-}
-
-/* This function tests the pmm_handleInt() function above. */
-void pmm_test(void)
-{
-       u32 handle, length, addr;
-       u16 function, flags;
-       /*-------------------- Test simple allocation/find/deallocation ----------------------------- */
-       function = 0;           /* pmmAllocate */
-       handle = 0xdeadbeef;
-       length = 16;            /* in 16byte paragraphs, so we allocate 256 bytes... */
-       flags = 0x1;            /* conventional memory, unaligned */
-       /* setup stack for call to pmm_handleInt() */
-       push_word(flags);
-       push_long(handle);
-       push_long(length);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
-       DEBUG_PRINTF_PMM("%s: allocated memory at: %04x:%04x\n", __func__,
-                        M.x86.R_DX, M.x86.R_AX);
-       function = 1;           /* pmmFind */
-       push_long(handle);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       DEBUG_PRINTF_PMM("%s: found memory at: %04x:%04x (expected: %08x)\n",
-                        __func__, M.x86.R_DX, M.x86.R_AX, addr);
-       function = 2;           /* pmmDeallocate */
-       push_long(addr);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       DEBUG_PRINTF_PMM
-           ("%s: freed memory rval: %04x:%04x (expected: 0000:0000)\n",
-            __func__, M.x86.R_DX, M.x86.R_AX);
-       /*-------------------- Test aligned allocation/deallocation ----------------------------- */
-       function = 0;           /* pmmAllocate */
-       handle = 0xdeadbeef;
-       length = 257;           /* in 16byte paragraphs, so we allocate 4KB + 16 bytes... */
-       flags = 0x1;            /* conventional memory, unaligned */
-       /* setup stack for call to pmm_handleInt() */
-       push_word(flags);
-       push_long(handle);
-       push_long(length);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
-       DEBUG_PRINTF_PMM("%s: allocated memory at: %04x:%04x\n", __func__,
-                        M.x86.R_DX, M.x86.R_AX);
-       function = 0;           /* pmmAllocate */
-       handle = 0xf00d4b0b;
-       length = 128;           /* in 16byte paragraphs, so we allocate 2KB... */
-       flags = 0x5;            /* conventional memory, aligned */
-       /* setup stack for call to pmm_handleInt() */
-       push_word(flags);
-       push_long(handle);
-       push_long(length);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       /* the address should be aligned to 0x800, so probably it is at offset 0x1800... */
-       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
-       DEBUG_PRINTF_PMM("%s: allocated memory at: %04x:%04x\n", __func__,
-                        M.x86.R_DX, M.x86.R_AX);
-       function = 1;           /* pmmFind */
-       push_long(handle);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
-       function = 2;           /* pmmDeallocate */
-       push_long(addr);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       DEBUG_PRINTF_PMM
-           ("%s: freed memory rval: %04x:%04x (expected: 0000:0000)\n",
-            __func__, M.x86.R_DX, M.x86.R_AX);
-       handle = 0xdeadbeef;
-       function = 1;           /* pmmFind */
-       push_long(handle);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
-       function = 2;           /* pmmDeallocate */
-       push_long(addr);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       DEBUG_PRINTF_PMM
-           ("%s: freed memory rval: %04x:%04x (expected: 0000:0000)\n",
-            __func__, M.x86.R_DX, M.x86.R_AX);
-       /*-------------------- Test out of memory allocation ----------------------------- */
-       function = 0;           /* pmmAllocate */
-       handle = 0xdeadbeef;
-       length = 0;             /* length zero means, give me the largest possible block */
-       flags = 0x1;            /* conventional memory, unaligned */
-       /* setup stack for call to pmm_handleInt() */
-       push_word(flags);
-       push_long(handle);
-       push_long(length);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       length = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
-       length /= 16;           /* length in paragraphs */
-       DEBUG_PRINTF_PMM("%s: largest possible length: %08x\n", __func__,
-                        length);
-       function = 0;           /* pmmAllocate */
-       flags = 0x1;            /* conventional memory, aligned */
-       /* setup stack for call to pmm_handleInt() */
-       push_word(flags);
-       push_long(handle);
-       push_long(length);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
-       DEBUG_PRINTF_PMM("%s: allocated memory at: %04x:%04x\n", __func__,
-                        M.x86.R_DX, M.x86.R_AX);
-       function = 0;           /* pmmAllocate */
-       length = 1;
-       handle = 0xf00d4b0b;
-       flags = 0x1;            /* conventional memory, aligned */
-       /* setup stack for call to pmm_handleInt() */
-       push_word(flags);
-       push_long(handle);
-       push_long(length);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       /* this should fail, so 0x0 should be returned */
-       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
-       DEBUG_PRINTF_PMM
-           ("%s: allocated memory at: %04x:%04x expected: 0000:0000\n",
-            __func__, M.x86.R_DX, M.x86.R_AX);
-       handle = 0xdeadbeef;
-       function = 1;           /* pmmFind */
-       push_long(handle);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       addr = ((u32) M.x86.R_DX << 16) | M.x86.R_AX;
-       function = 2;           /* pmmDeallocate */
-       push_long(addr);
-       push_word(function);
-       push_long(0);           /* This is the return address for the ABI, unused in this implementation */
-       pmm_handleInt();
-       DEBUG_PRINTF_PMM
-           ("%s: freed memory rval: %04x:%04x (expected: 0000:0000)\n",
-            __func__, M.x86.R_DX, M.x86.R_AX);
-}
diff --git a/util/x86emu/yabel/pmm.h b/util/x86emu/yabel/pmm.h
deleted file mode 100644 (file)
index 95645df..0000000
+++ /dev/null
@@ -1,46 +0,0 @@
-/****************************************************************************
- * YABEL BIOS Emulator
- *
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Copyright (c) 2008 Pattrick Hueper <phueper@hueper.net>
- ****************************************************************************/
-
-#ifndef _YABEL_PMM_H_
-#define _YABEL_PMM_H_
-
-#include <types.h>
-
-/* PMM Structure see PMM Spec Version 1.01 Chapter 3.1.1
- * (search web for specspmm101.pdf)
- */
-typedef struct {
-       u8 signature[4];
-       u8 struct_rev;
-       u8 length;
-       u8 checksum;
-       u32 entry_point_offset;
-       u8 reserved[5];
-       /* Code is not part of the speced PMM struct, however, since I cannot
-        * put the handling of PMM in the virtual memory (I dont want to hack it
-        * together in x86 assembly ;-)) this code array is pointed to by
-        * entry_point_offset, in code there is only a INT call and a RETF,
-        * thus every PMM call will issue a PMM INT (only defined in YABEL,
-        * see interrupt.c) and the INT Handler will do the actual PMM work.
-        */
-       u8 code[3];
-} __attribute__ ((__packed__)) pmm_information_t;
-
-/* This function is used to setup the PMM struct in virtual memory 
- * at a certain offset */
-u8 pmm_setup(u16 segment, u16 offset);
-
-/* This is the INT Handler mentioned above, called by my special PMM INT. */
-void pmm_handleInt(void);
-
-void pmm_test(void);
-
-#endif                         // _YABEL_PMM_H
diff --git a/util/x86emu/yabel/vbe.c b/util/x86emu/yabel/vbe.c
deleted file mode 100644 (file)
index 6326a9c..0000000
+++ /dev/null
@@ -1,852 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * Copyright (c) 2009 Pattrick Hueper <phueper@hueper.net>
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-#include <string.h>
-#include <types.h>
-#if CONFIG_BOOTSPLASH
-#include <boot/coreboot_tables.h>
-#endif
-
-#include <arch/byteorder.h>
-#define ntohl(x) be32_to_cpu(x)
-
-#include "debug.h"
-
-#include <x86emu/x86emu.h>
-#include <x86emu/regs.h>
-#include "../x86emu/prim_ops.h"
-
-#include "biosemu.h"
-#include "io.h"
-#include "mem.h"
-#include "interrupt.h"
-#include "device.h"
-
-#include <cbfs.h>
-
-#include <delay.h>
-#include "../../src/lib/jpeg.h"
-
-// pointer to VBEInfoBuffer, set by vbe_prepare
-u8 *vbe_info_buffer = 0;
-
-// virtual BIOS Memory
-u8 *biosmem;
-u32 biosmem_size;
-
-// these structs are for input from and output to OF
-typedef struct {
-       u8 display_type;        // 0=NONE, 1= analog, 2=digital
-       u16 screen_width;
-       u16 screen_height;
-       u16 screen_linebytes;   // bytes per line in framebuffer, may be more than screen_width
-       u8 color_depth; // color depth in bpp
-       u32 framebuffer_address;
-       u8 edid_block_zero[128];
-} __attribute__ ((__packed__)) screen_info_t;
-
-typedef struct {
-       u8 signature[4];
-       u16 size_reserved;
-       u8 monitor_number;
-       u16 max_screen_width;
-       u8 color_depth;
-} __attribute__ ((__packed__)) screen_info_input_t;
-
-// these structs only store a subset of the VBE defined fields
-// only those needed.
-typedef struct {
-       char signature[4];
-       u16 version;
-       u8 *oem_string_ptr;
-       u32 capabilities;
-       u16 video_mode_list[256];       // lets hope we never have more than 256 video modes...
-       u16 total_memory;
-} vbe_info_t;
-
-typedef struct {
-       u16 mode_attributes; // 00
-       u8 win_a_attributes; // 02
-       u8 win_b_attributes; // 03
-       u16 win_granularity; // 04
-       u16 win_size;        // 06
-       u16 win_a_segment;   // 08
-       u16 win_b_segment;   // 0a
-       u32 win_func_ptr;    // 0c
-       u16 bytes_per_scanline; // 10
-       u16 x_resolution;    // 12
-       u16 y_resolution;    // 14
-       u8 x_charsize;       // 16
-       u8 y_charsize;       // 17
-       u8 number_of_planes; // 18
-       u8 bits_per_pixel;   // 19
-       u8 number_of_banks;  // 20
-       u8 memory_model;     // 21
-       u8 bank_size;        // 22
-       u8 number_of_image_pages; // 23
-       u8 reserved_page;
-       u8 red_mask_size;
-       u8 red_mask_pos;
-       u8 green_mask_size;
-       u8 green_mask_pos;
-       u8 blue_mask_size;
-       u8 blue_mask_pos;
-       u8 reserved_mask_size;
-       u8 reserved_mask_pos;
-       u8 direct_color_mode_info;
-       u32 phys_base_ptr;
-       u32 offscreen_mem_offset;
-       u16 offscreen_mem_size;
-       u8 reserved[206];
-} __attribute__ ((__packed__)) vesa_mode_info_t;
-
-typedef struct {
-       u16 video_mode;
-       union {
-               vesa_mode_info_t vesa;
-               u8 mode_info_block[256];
-       };
-       // our crap
-       //u16 attributes;
-       //u16 linebytes;
-       //u16 x_resolution;
-       //u16 y_resolution;
-       //u8 x_charsize;
-       //u8 y_charsize;
-       //u8 bits_per_pixel;
-       //u8 memory_model;
-       //u32 framebuffer_address;
-} vbe_mode_info_t;
-
-typedef struct {
-       u8 port_number; // i.e. monitor number
-       u8 edid_transfer_time;
-       u8 ddc_level;
-       u8 edid_block_zero[128];
-} vbe_ddc_info_t;
-
-static inline u8
-vbe_prepare(void)
-{
-       vbe_info_buffer = biosmem + (VBE_SEGMENT << 4); // segment:offset off VBE Data Area
-       //clear buffer
-       memset(vbe_info_buffer, 0, 512);
-       //set VbeSignature to "VBE2" to indicate VBE 2.0+ request
-       vbe_info_buffer[0] = 'V';
-       vbe_info_buffer[0] = 'B';
-       vbe_info_buffer[0] = 'E';
-       vbe_info_buffer[0] = '2';
-       // ES:DI store pointer to buffer in virtual mem see vbe_info_buffer above...
-       M.x86.R_EDI = 0x0;
-       M.x86.R_ES = VBE_SEGMENT;
-
-       return 0;               // successfull init
-}
-
-// VBE Function 00h
-static u8
-vbe_info(vbe_info_t * info)
-{
-       vbe_prepare();
-       // call VBE function 00h (Info Function)
-       M.x86.R_EAX = 0x4f00;
-
-       // enable trace
-       CHECK_DBG(DEBUG_TRACE_X86EMU) {
-               X86EMU_trace_on();
-       }
-       // run VESA Interrupt
-       runInt10();
-
-       if (M.x86.R_AL != 0x4f) {
-               DEBUG_PRINTF_VBE("%s: VBE Info Function NOT supported! AL=%x\n",
-                                __func__, M.x86.R_AL);
-               return -1;
-       }
-
-       if (M.x86.R_AH != 0x0) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Info Function Return Code NOT OK! AH=%x\n",
-                    __func__, M.x86.R_AH);
-               return M.x86.R_AH;
-       }
-       //printf("VBE Info Dump:");
-       //dump(vbe_info_buffer, 64);
-
-       //offset 0: signature
-       info->signature[0] = vbe_info_buffer[0];
-       info->signature[1] = vbe_info_buffer[1];
-       info->signature[2] = vbe_info_buffer[2];
-       info->signature[3] = vbe_info_buffer[3];
-
-       // offset 4: 16bit le containing VbeVersion
-       info->version = in16le(vbe_info_buffer + 4);
-
-       // offset 6: 32bit le containg segment:offset of OEM String in virtual Mem.
-       info->oem_string_ptr =
-           biosmem + ((in16le(vbe_info_buffer + 8) << 4) +
-                      in16le(vbe_info_buffer + 6));
-
-       // offset 10: 32bit le capabilities
-       info->capabilities = in32le(vbe_info_buffer + 10);
-
-       // offset 14: 32 bit le containing segment:offset of supported video mode table
-       u16 *video_mode_ptr;
-       video_mode_ptr =
-           (u16 *) (biosmem +
-                         ((in16le(vbe_info_buffer + 16) << 4) +
-                          in16le(vbe_info_buffer + 14)));
-       u32 i = 0;
-       do {
-               info->video_mode_list[i] = in16le(video_mode_ptr + i);
-               i++;
-       }
-       while ((i <
-               (sizeof(info->video_mode_list) /
-                sizeof(info->video_mode_list[0])))
-              && (info->video_mode_list[i - 1] != 0xFFFF));
-
-       //offset 18: 16bit le total memory in 64KB blocks
-       info->total_memory = in16le(vbe_info_buffer + 18);
-
-       return 0;
-}
-
-// VBE Function 01h
-static u8
-vbe_get_mode_info(vbe_mode_info_t * mode_info)
-{
-       vbe_prepare();
-       // call VBE function 01h (Return VBE Mode Info Function)
-       M.x86.R_EAX = 0x4f01;
-       M.x86.R_CX = mode_info->video_mode;
-
-       // enable trace
-       CHECK_DBG(DEBUG_TRACE_X86EMU) {
-               X86EMU_trace_on();
-       }
-       // run VESA Interrupt
-       runInt10();
-
-       if (M.x86.R_AL != 0x4f) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Return Mode Info Function NOT supported! AL=%x\n",
-                    __func__, M.x86.R_AL);
-               return -1;
-       }
-
-       if (M.x86.R_AH != 0x0) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Return Mode Info (mode: %04x) Function Return Code NOT OK! AH=%02x\n",
-                    __func__, mode_info->video_mode, M.x86.R_AH);
-               return M.x86.R_AH;
-       }
-
-       //pointer to mode_info_block is in ES:DI
-       memcpy(mode_info->mode_info_block,
-              biosmem + ((M.x86.R_ES << 4) + M.x86.R_DI),
-              sizeof(mode_info->mode_info_block));
-
-       //printf("Mode Info Dump:");
-       //dump(mode_info_block, 64);
-
-       return 0;
-}
-
-// VBE Function 02h
-static u8
-vbe_set_mode(vbe_mode_info_t * mode_info)
-{
-       vbe_prepare();
-       // call VBE function 02h (Set VBE Mode Function)
-       M.x86.R_EAX = 0x4f02;
-       M.x86.R_BX = mode_info->video_mode;
-       M.x86.R_BX |= 0x4000;   // set bit 14 to request linear framebuffer mode
-       M.x86.R_BX &= 0x7FFF;   // clear bit 15 to request clearing of framebuffer
-
-       DEBUG_PRINTF_VBE("%s: setting mode: 0x%04x\n", __func__,
-                        M.x86.R_BX);
-
-       // enable trace
-       CHECK_DBG(DEBUG_TRACE_X86EMU) {
-               X86EMU_trace_on();
-       }
-       // run VESA Interrupt
-       runInt10();
-
-       if (M.x86.R_AL != 0x4f) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Set Mode Function NOT supported! AL=%x\n",
-                    __func__, M.x86.R_AL);
-               return -1;
-       }
-
-       if (M.x86.R_AH != 0x0) {
-               DEBUG_PRINTF_VBE
-                   ("%s: mode: %x VBE Set Mode Function Return Code NOT OK! AH=%x\n",
-                    __func__, mode_info->video_mode, M.x86.R_AH);
-               return M.x86.R_AH;
-       }
-       return 0;
-}
-
-//VBE Function 08h
-static u8
-vbe_set_palette_format(u8 format)
-{
-       vbe_prepare();
-       // call VBE function 09h (Set/Get Palette Data Function)
-       M.x86.R_EAX = 0x4f08;
-       M.x86.R_BL = 0x00;      // set format
-       M.x86.R_BH = format;
-
-       DEBUG_PRINTF_VBE("%s: setting palette format: %d\n", __func__,
-                        format);
-
-       // enable trace
-       CHECK_DBG(DEBUG_TRACE_X86EMU) {
-               X86EMU_trace_on();
-       }
-       // run VESA Interrupt
-       runInt10();
-
-       if (M.x86.R_AL != 0x4f) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Set Palette Format Function NOT supported! AL=%x\n",
-                    __func__, M.x86.R_AL);
-               return -1;
-       }
-
-       if (M.x86.R_AH != 0x0) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Set Palette Format Function Return Code NOT OK! AH=%x\n",
-                    __func__, M.x86.R_AH);
-               return M.x86.R_AH;
-       }
-       return 0;
-}
-
-// VBE Function 09h
-static u8
-vbe_set_color(u16 color_number, u32 color_value)
-{
-       vbe_prepare();
-       // call VBE function 09h (Set/Get Palette Data Function)
-       M.x86.R_EAX = 0x4f09;
-       M.x86.R_BL = 0x00;      // set color
-       M.x86.R_CX = 0x01;      // set only one entry
-       M.x86.R_DX = color_number;
-       // ES:DI is address where color_value is stored, we store it at 2000:0000
-       M.x86.R_ES = 0x2000;
-       M.x86.R_DI = 0x0;
-
-       // store color value at ES:DI
-       out32le(biosmem + (M.x86.R_ES << 4) + M.x86.R_DI, color_value);
-
-       DEBUG_PRINTF_VBE("%s: setting color #%x: 0x%04x\n", __func__,
-                        color_number, color_value);
-
-       // enable trace
-       CHECK_DBG(DEBUG_TRACE_X86EMU) {
-               X86EMU_trace_on();
-       }
-       // run VESA Interrupt
-       runInt10();
-
-       if (M.x86.R_AL != 0x4f) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Set Palette Function NOT supported! AL=%x\n",
-                    __func__, M.x86.R_AL);
-               return -1;
-       }
-
-       if (M.x86.R_AH != 0x0) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Set Palette Function Return Code NOT OK! AH=%x\n",
-                    __func__, M.x86.R_AH);
-               return M.x86.R_AH;
-       }
-       return 0;
-}
-
-static u8
-vbe_get_color(u16 color_number, u32 * color_value)
-{
-       vbe_prepare();
-       // call VBE function 09h (Set/Get Palette Data Function)
-       M.x86.R_EAX = 0x4f09;
-       M.x86.R_BL = 0x00;      // get color
-       M.x86.R_CX = 0x01;      // get only one entry
-       M.x86.R_DX = color_number;
-       // ES:DI is address where color_value is stored, we store it at 2000:0000
-       M.x86.R_ES = 0x2000;
-       M.x86.R_DI = 0x0;
-
-       // enable trace
-       CHECK_DBG(DEBUG_TRACE_X86EMU) {
-               X86EMU_trace_on();
-       }
-       // run VESA Interrupt
-       runInt10();
-
-       if (M.x86.R_AL != 0x4f) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Set Palette Function NOT supported! AL=%x\n",
-                    __func__, M.x86.R_AL);
-               return -1;
-       }
-
-       if (M.x86.R_AH != 0x0) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Set Palette Function Return Code NOT OK! AH=%x\n",
-                    __func__, M.x86.R_AH);
-               return M.x86.R_AH;
-       }
-       // read color value from ES:DI
-       *color_value = in32le(biosmem + (M.x86.R_ES << 4) + M.x86.R_DI);
-
-       DEBUG_PRINTF_VBE("%s: getting color #%x --> 0x%04x\n", __func__,
-                        color_number, *color_value);
-
-       return 0;
-}
-
-// VBE Function 15h
-static u8
-vbe_get_ddc_info(vbe_ddc_info_t * ddc_info)
-{
-       vbe_prepare();
-       // call VBE function 15h (DDC Info Function)
-       M.x86.R_EAX = 0x4f15;
-       M.x86.R_BL = 0x00;      // get DDC Info
-       M.x86.R_CX = ddc_info->port_number;
-       M.x86.R_ES = 0x0;
-       M.x86.R_DI = 0x0;
-
-       // enable trace
-       CHECK_DBG(DEBUG_TRACE_X86EMU) {
-               X86EMU_trace_on();
-       }
-       // run VESA Interrupt
-       runInt10();
-
-       if (M.x86.R_AL != 0x4f) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Get DDC Info Function NOT supported! AL=%x\n",
-                    __func__, M.x86.R_AL);
-               return -1;
-       }
-
-       if (M.x86.R_AH != 0x0) {
-               DEBUG_PRINTF_VBE
-                   ("%s: port: %x VBE Get DDC Info Function Return Code NOT OK! AH=%x\n",
-                    __func__, ddc_info->port_number, M.x86.R_AH);
-               return M.x86.R_AH;
-       }
-       // BH = approx. time in seconds to transfer one EDID block
-       ddc_info->edid_transfer_time = M.x86.R_BH;
-       // BL = DDC Level
-       ddc_info->ddc_level = M.x86.R_BL;
-
-       vbe_prepare();
-       // call VBE function 15h (DDC Info Function)
-       M.x86.R_EAX = 0x4f15;
-       M.x86.R_BL = 0x01;      // read EDID
-       M.x86.R_CX = ddc_info->port_number;
-       M.x86.R_DX = 0x0;       // block number
-       // ES:DI is address where EDID is stored, we store it at 2000:0000
-       M.x86.R_ES = 0x2000;
-       M.x86.R_DI = 0x0;
-
-       // enable trace
-       CHECK_DBG(DEBUG_TRACE_X86EMU) {
-               X86EMU_trace_on();
-       }
-       // run VESA Interrupt
-       runInt10();
-
-       if (M.x86.R_AL != 0x4f) {
-               DEBUG_PRINTF_VBE
-                   ("%s: VBE Read EDID Function NOT supported! AL=%x\n",
-                    __func__, M.x86.R_AL);
-               return -1;
-       }
-
-       if (M.x86.R_AH != 0x0) {
-               DEBUG_PRINTF_VBE
-                   ("%s: port: %x VBE Read EDID Function Return Code NOT OK! AH=%x\n",
-                    __func__, ddc_info->port_number, M.x86.R_AH);
-               return M.x86.R_AH;
-       }
-
-       memcpy(ddc_info->edid_block_zero,
-              biosmem + (M.x86.R_ES << 4) + M.x86.R_DI,
-              sizeof(ddc_info->edid_block_zero));
-
-       return 0;
-}
-
-static u32
-vbe_get_info(void)
-{
-       u8 rval;
-       int i;
-
-       // XXX FIXME these need to be filled with sane values
-
-       // get a copy of input struct...
-       screen_info_input_t input;
-       // output is pointer to the address passed as argv[4]
-       screen_info_t local_output;
-       screen_info_t *output = &local_output;
-       // zero input
-       memset(&input, 0, sizeof(screen_info_input_t));
-       // zero output
-       memset(&output, 0, sizeof(screen_info_t));
-
-       vbe_info_t info;
-       rval = vbe_info(&info);
-       if (rval != 0)
-               return rval;
-
-       DEBUG_PRINTF_VBE("VbeSignature: %s\n", info.signature);
-       DEBUG_PRINTF_VBE("VbeVersion: 0x%04x\n", info.version);
-       DEBUG_PRINTF_VBE("OemString: %s\n", info.oem_string_ptr);
-       DEBUG_PRINTF_VBE("Capabilities:\n");
-       DEBUG_PRINTF_VBE("\tDAC: %s\n",
-                        (info.capabilities & 0x1) ==
-                        0 ? "fixed 6bit" : "switchable 6/8bit");
-       DEBUG_PRINTF_VBE("\tVGA: %s\n",
-                        (info.capabilities & 0x2) ==
-                        0 ? "compatible" : "not compatible");
-       DEBUG_PRINTF_VBE("\tRAMDAC: %s\n",
-                        (info.capabilities & 0x4) ==
-                        0 ? "normal" : "use blank bit in Function 09h");
-
-       // argv[4] may be a pointer with enough space to return screen_info_t
-       // as input, it must contain a screen_info_input_t with the following content:
-       // byte[0:3] = "DDC\0" (zero-terminated signature header)
-       // byte[4:5] = reserved space for the return struct... just in case we ever change
-       //             the struct and dont have reserved enough memory (and let's hope the struct
-       //             never gets larger than 64KB)
-       // byte[6] = monitor port number for DDC requests ("only" one byte... so lets hope we never have more than 255 monitors...
-       // byte[7:8] = max. screen width (OF may want to limit this)
-       // byte[9] = required color depth in bpp
-       if (strncmp((char *) input.signature, "DDC", 4) != 0) {
-               printf
-                   ("%s: Invalid input signature! expected: %s, is: %s\n",
-                    __func__, "DDC", input.signature);
-               return -1;
-       }
-       if (input.size_reserved != sizeof(screen_info_t)) {
-               printf
-                   ("%s: Size of return struct is wrong, required: %d, available: %d\n",
-                    __func__, (int) sizeof(screen_info_t),
-                    input.size_reserved);
-               return -1;
-       }
-
-       vbe_ddc_info_t ddc_info;
-       ddc_info.port_number = input.monitor_number;
-       vbe_get_ddc_info(&ddc_info);
-
-#if 0
-       DEBUG_PRINTF_VBE("DDC: edid_tranfer_time: %d\n",
-                        ddc_info.edid_transfer_time);
-       DEBUG_PRINTF_VBE("DDC: ddc_level: %x\n", ddc_info.ddc_level);
-       DEBUG_PRINTF_VBE("DDC: EDID: \n");
-       CHECK_DBG(DEBUG_VBE) {
-               dump(ddc_info.edid_block_zero,
-                    sizeof(ddc_info.edid_block_zero));
-       }
-#endif
-/* This could fail because of alignment issues, so use a longer form.
-       *((u64 *) ddc_info.edid_block_zero) != (u64) 0x00FFFFFFFFFFFF00ULL
-*/
-       if (ddc_info.edid_block_zero[0] != 0x00 ||
-           ddc_info.edid_block_zero[1] != 0xFF ||
-           ddc_info.edid_block_zero[2] != 0xFF ||
-           ddc_info.edid_block_zero[3] != 0xFF ||
-           ddc_info.edid_block_zero[4] != 0xFF ||
-           ddc_info.edid_block_zero[5] != 0xFF ||
-           ddc_info.edid_block_zero[6] != 0xFF ||
-           ddc_info.edid_block_zero[7] != 0x00 ) {
-               // invalid EDID signature... probably no monitor
-
-               output->display_type = 0x0;
-               return 0;
-       } else if ((ddc_info.edid_block_zero[20] & 0x80) != 0) {
-               // digital display
-               output->display_type = 2;
-       } else {
-               // analog
-               output->display_type = 1;
-       }
-       DEBUG_PRINTF_VBE("DDC: found display type %d\n", output->display_type);
-       memcpy(output->edid_block_zero, ddc_info.edid_block_zero,
-              sizeof(ddc_info.edid_block_zero));
-       i = 0;
-       vbe_mode_info_t mode_info;
-       vbe_mode_info_t best_mode_info;
-       // initialize best_mode to 0
-       memset(&best_mode_info, 0, sizeof(best_mode_info));
-       while ((mode_info.video_mode = info.video_mode_list[i]) != 0xFFFF) {
-               //DEBUG_PRINTF_VBE("%x: Mode: %04x\n", i, mode_info.video_mode);
-               vbe_get_mode_info(&mode_info);
-
-               // FIXME all these values are little endian!
-
-               DEBUG_PRINTF_VBE("Video Mode 0x%04x available, %s\n",
-                                mode_info.video_mode,
-                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x1) ==
-                                0 ? "not supported" : "supported");
-               DEBUG_PRINTF_VBE("\tTTY: %s\n",
-                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x4) ==
-                                0 ? "no" : "yes");
-               DEBUG_PRINTF_VBE("\tMode: %s %s\n",
-                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x8) ==
-                                0 ? "monochrome" : "color",
-                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x10) ==
-                                0 ? "text" : "graphics");
-               DEBUG_PRINTF_VBE("\tVGA: %s\n",
-                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x20) ==
-                                0 ? "compatible" : "not compatible");
-               DEBUG_PRINTF_VBE("\tWindowed Mode: %s\n",
-                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x40) ==
-                                0 ? "yes" : "no");
-               DEBUG_PRINTF_VBE("\tFramebuffer: %s\n",
-                                (le16_to_cpu(mode_info.vesa.mode_attributes) & 0x80) ==
-                                0 ? "no" : "yes");
-               DEBUG_PRINTF_VBE("\tResolution: %dx%d\n",
-                                le16_to_cpu(mode_info.vesa.x_resolution),
-                                le16_to_cpu(mode_info.vesa.y_resolution));
-               DEBUG_PRINTF_VBE("\tChar Size: %dx%d\n",
-                                mode_info.vesa.x_charsize, mode_info.vesa.y_charsize);
-               DEBUG_PRINTF_VBE("\tColor Depth: %dbpp\n",
-                                mode_info.vesa.bits_per_pixel);
-               DEBUG_PRINTF_VBE("\tMemory Model: 0x%x\n",
-                                mode_info.vesa.memory_model);
-               DEBUG_PRINTF_VBE("\tFramebuffer Offset: %08x\n",
-                                le32_to_cpu(mode_info.vesa.phys_base_ptr));
-
-               if ((mode_info.vesa.bits_per_pixel == input.color_depth)
-                   && (le16_to_cpu(mode_info.vesa.x_resolution) <= input.max_screen_width)
-                   && ((le16_to_cpu(mode_info.vesa.mode_attributes) & 0x80) != 0)      // framebuffer mode
-                   && ((le16_to_cpu(mode_info.vesa.mode_attributes) & 0x10) != 0)      // graphics
-                   && ((le16_to_cpu(mode_info.vesa.mode_attributes) & 0x8) != 0)       // color
-                   && (le16_to_cpu(mode_info.vesa.x_resolution) > le16_to_cpu(best_mode_info.vesa.x_resolution)))      // better than previous best_mode
-               {
-                       // yiiiihaah... we found a new best mode
-                       memcpy(&best_mode_info, &mode_info, sizeof(mode_info));
-               }
-               i++;
-       }
-
-       if (best_mode_info.video_mode != 0) {
-               DEBUG_PRINTF_VBE
-                   ("Best Video Mode found: 0x%x, %dx%d, %dbpp, framebuffer_address: 0x%x\n",
-                    best_mode_info.video_mode,
-                    best_mode_info.vesa.x_resolution,
-                    best_mode_info.vesa.y_resolution,
-                    best_mode_info.vesa.bits_per_pixel,
-                    le32_to_cpu(best_mode_info.vesa.phys_base_ptr));
-
-               //printf("Mode Info Dump:");
-               //dump(best_mode_info.mode_info_block, 64);
-
-               // set the video mode
-               vbe_set_mode(&best_mode_info);
-
-               if ((info.capabilities & 0x1) != 0) {
-                       // switch to 8 bit palette format
-                       vbe_set_palette_format(8);
-               }
-               // setup a palette:
-               // - first 216 colors are mixed colors for each component in 6 steps
-               //   (6*6*6=216)
-               // - then 10 shades of the three primary colors
-               // - then 10 shades of grey
-               // -------
-               // = 256 colors
-               //
-               // - finally black is color 0 and white color FF (because SLOF expects it
-               //   this way...)
-               // this resembles the palette that the kernel/X Server seems to expect...
-
-               u8 mixed_color_values[6] =
-                   { 0xFF, 0xDA, 0xB3, 0x87, 0x54, 0x00 };
-               u8 primary_color_values[10] =
-                   { 0xF3, 0xE7, 0xCD, 0xC0, 0xA5, 0x96, 0x77, 0x66, 0x3F,
-                       0x27
-               };
-               u8 mc_size = sizeof(mixed_color_values);
-               u8 prim_size = sizeof(primary_color_values);
-
-               u8 curr_color_index;
-               u32 curr_color;
-
-               u8 r, g, b;
-               // 216 mixed colors
-               for (r = 0; r < mc_size; r++) {
-                       for (g = 0; g < mc_size; g++) {
-                               for (b = 0; b < mc_size; b++) {
-                                       curr_color_index =
-                                           (r * mc_size * mc_size) +
-                                           (g * mc_size) + b;
-                                       curr_color = 0;
-                                       curr_color |= ((u32) mixed_color_values[r]) << 16;      //red value
-                                       curr_color |= ((u32) mixed_color_values[g]) << 8;       //green value
-                                       curr_color |= (u32) mixed_color_values[b];      //blue value
-                                       vbe_set_color(curr_color_index,
-                                                     curr_color);
-                               }
-                       }
-               }
-
-               // 10 shades of each primary color
-               // red
-               for (r = 0; r < prim_size; r++) {
-                       curr_color_index = mc_size * mc_size * mc_size + r;
-                       curr_color = ((u32) primary_color_values[r]) << 16;
-                       vbe_set_color(curr_color_index, curr_color);
-               }
-               //green
-               for (g = 0; g < prim_size; g++) {
-                       curr_color_index =
-                           mc_size * mc_size * mc_size + prim_size + g;
-                       curr_color = ((u32) primary_color_values[g]) << 8;
-                       vbe_set_color(curr_color_index, curr_color);
-               }
-               //blue
-               for (b = 0; b < prim_size; b++) {
-                       curr_color_index =
-                           mc_size * mc_size * mc_size + prim_size * 2 + b;
-                       curr_color = (u32) primary_color_values[b];
-                       vbe_set_color(curr_color_index, curr_color);
-               }
-               // 10 shades of grey
-               for (i = 0; i < prim_size; i++) {
-                       curr_color_index =
-                           mc_size * mc_size * mc_size + prim_size * 3 + i;
-                       curr_color = 0;
-                       curr_color |= ((u32) primary_color_values[i]) << 16;    //red
-                       curr_color |= ((u32) primary_color_values[i]) << 8;     //green
-                       curr_color |= ((u32) primary_color_values[i]);  //blue
-                       vbe_set_color(curr_color_index, curr_color);
-               }
-
-               // SLOF is using color 0x0 (black) and 0xFF (white) to draw to the screen...
-               vbe_set_color(0x00, 0x00000000);
-               vbe_set_color(0xFF, 0x00FFFFFF);
-
-               output->screen_width = le16_to_cpu(best_mode_info.vesa.x_resolution);
-               output->screen_height = le16_to_cpu(best_mode_info.vesa.y_resolution);
-               output->screen_linebytes = le16_to_cpu(best_mode_info.vesa.bytes_per_scanline);
-               output->color_depth = best_mode_info.vesa.bits_per_pixel;
-               output->framebuffer_address =
-                   le32_to_cpu(best_mode_info.vesa.phys_base_ptr);
-       } else {
-               printf("%s: No suitable video mode found!\n", __func__);
-               //unset display_type...
-               output->display_type = 0;
-       }
-       return 0;
-}
-
-#if CONFIG_BOOTSPLASH
-vbe_mode_info_t mode_info;
-
-void vbe_set_graphics(void)
-{
-       u8 rval;
-       int i;
-
-       vbe_info_t info;
-       rval = vbe_info(&info);
-       if (rval != 0)
-               return;
-
-       DEBUG_PRINTF_VBE("VbeSignature: %s\n", info.signature);
-       DEBUG_PRINTF_VBE("VbeVersion: 0x%04x\n", info.version);
-       DEBUG_PRINTF_VBE("OemString: %s\n", info.oem_string_ptr);
-       DEBUG_PRINTF_VBE("Capabilities:\n");
-       DEBUG_PRINTF_VBE("\tDAC: %s\n",
-                        (info.capabilities & 0x1) ==
-                        0 ? "fixed 6bit" : "switchable 6/8bit");
-       DEBUG_PRINTF_VBE("\tVGA: %s\n",
-                        (info.capabilities & 0x2) ==
-                        0 ? "compatible" : "not compatible");
-       DEBUG_PRINTF_VBE("\tRAMDAC: %s\n",
-                        (info.capabilities & 0x4) ==
-                        0 ? "normal" : "use blank bit in Function 09h");
-
-       mode_info.video_mode = (1 << 14) | CONFIG_FRAMEBUFFER_VESA_MODE;
-       vbe_get_mode_info(&mode_info);
-       unsigned char *framebuffer = 
-               (unsigned char *) le32_to_cpu(mode_info.vesa.phys_base_ptr);
-       DEBUG_PRINTF_VBE("FRAMEBUFFER: 0x%08x\n", framebuffer);
-       vbe_set_mode(&mode_info);
-
-       struct jpeg_decdata *decdata;
-       decdata = malloc(sizeof(*decdata));
-
-       /* Switching Intel IGD to 1MB video memory will break this. Who
-        * cares. */
-       int imagesize = 1024*768*2;
-       
-       unsigned char *jpeg = cbfs_find_file("bootsplash.jpg", CBFS_TYPE_BOOTSPLASH);
-       if (!jpeg) { 
-               DEBUG_PRINTF_VBE("Could not find bootsplash.jpg\n");
-               return;
-       }
-       DEBUG_PRINTF_VBE("Splash at %08x ...\n", jpeg);
-       dump(jpeg, 64);
-
-       int ret = 0;
-       DEBUG_PRINTF_VBE("Decompressing boot splash screen...\n");
-       ret = jpeg_decode(jpeg, framebuffer, 1024, 768, 16, decdata);
-       DEBUG_PRINTF_VBE("returns %x\n", ret);
-}
-
-void fill_lb_framebuffer(struct lb_framebuffer *framebuffer)
-{
-       framebuffer->physical_address = le32_to_cpu(mode_info.vesa.phys_base_ptr);
-
-       framebuffer->x_resolution = le16_to_cpu(mode_info.vesa.x_resolution);
-       framebuffer->y_resolution = le16_to_cpu(mode_info.vesa.y_resolution);
-       framebuffer->bytes_per_line = le16_to_cpu(mode_info.vesa.bytes_per_scanline);
-       framebuffer->bits_per_pixel = mode_info.vesa.bits_per_pixel;
-
-       framebuffer->red_mask_pos = mode_info.vesa.red_mask_pos;
-       framebuffer->red_mask_size = mode_info.vesa.red_mask_size;
-
-       framebuffer->green_mask_pos = mode_info.vesa.green_mask_pos;
-       framebuffer->green_mask_size = mode_info.vesa.green_mask_size;
-
-       framebuffer->blue_mask_pos = mode_info.vesa.blue_mask_pos;
-       framebuffer->blue_mask_size = mode_info.vesa.blue_mask_size;
-
-       framebuffer->reserved_mask_pos = mode_info.vesa.reserved_mask_pos;
-       framebuffer->reserved_mask_size = mode_info.vesa.reserved_mask_size;
-}
-
-void vbe_textmode_console(void)
-{
-       /* Wait, just a little bit more, pleeeease ;-) */
-       delay(2);
-
-       M.x86.R_EAX = 0x0003;
-       runInt10();
-}
-
-#endif
diff --git a/util/x86emu/yabel/vbe.h b/util/x86emu/yabel/vbe.h
deleted file mode 100644 (file)
index 07daedb..0000000
+++ /dev/null
@@ -1,16 +0,0 @@
-/******************************************************************************
- * Copyright (c) 2004, 2008 IBM Corporation
- * All rights reserved.
- * This program and the accompanying materials
- * are made available under the terms of the BSD License
- * which accompanies this distribution, and is available at
- * http://www.opensource.org/licenses/bsd-license.php
- *
- * Contributors:
- *     IBM Corporation - initial implementation
- *****************************************************************************/
-
-#ifndef _BIOSEMU_VBE_H_
-#define _BIOSEMU_VBE_H_
-
-#endif