default baudrate setting now in top level entity
[calu.git] / cpu / src / extension_uart.vhd
index f5931646ca09555a8dba1bd8a4d3f90efd1df54d..07d6bda2654efe5d679d3231c149e907dcea61c1 100644 (file)
@@ -11,7 +11,8 @@ entity extension_uart is
 
        generic (
                        -- active reset value
-                       RESET_VALUE : std_logic
+                       RESET_VALUE : std_logic;
+                       CLK_PER_BAUD : integer
                        );
        port(
                --System inputs