added instruction rom/ram switch, added new data signaling bit in uart.
[calu.git] / transcript
1 cd cpu/sim
2 # reading modelsim.ini
3 ls
4 # modelsim.ini
5 # testcore1.do
6 # testcore.do
7 # vsim.wlf
8 # wave.do
9 # work
10 do testcore.do
11 # ** Warning: (vlib-34) Library already exists at "work".
12 # Modifying modelsim.ini
13 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
14 # -- Loading package standard
15 # -- Loading package std_logic_1164
16 # -- Loading package numeric_std
17 # -- Compiling package mem_pkg
18 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
19 # -- Loading package standard
20 # -- Loading package std_logic_1164
21 # -- Loading package numeric_std
22 # -- Compiling entity r_w_ram
23 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
24 # -- Loading package standard
25 # -- Loading package std_logic_1164
26 # -- Loading package numeric_std
27 # -- Loading package mem_pkg
28 # -- Compiling architecture behaviour of r_w_ram
29 # -- Loading entity r_w_ram
30 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
31 # -- Loading package standard
32 # -- Loading package std_logic_1164
33 # -- Loading package numeric_std
34 # -- Loading package mem_pkg
35 # -- Compiling entity r2_w_ram
36 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
37 # -- Loading package standard
38 # -- Loading package std_logic_1164
39 # -- Loading package numeric_std
40 # -- Loading package mem_pkg
41 # -- Compiling architecture behaviour of r2_w_ram
42 # -- Loading entity r2_w_ram
43 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
44 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
45 # -- Loading package standard
46 # -- Loading package std_logic_1164
47 # -- Loading package numeric_std
48 # -- Compiling entity rom
49 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
50 # -- Loading package standard
51 # -- Loading package std_logic_1164
52 # -- Loading package numeric_std
53 # -- Loading package mem_pkg
54 # -- Compiling architecture behaviour of rom
55 # -- Loading entity rom
56 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
57 # -- Loading package standard
58 # -- Loading package std_logic_1164
59 # -- Loading package numeric_std
60 # -- Loading package common_pkg
61 # -- Compiling package extension_pkg
62 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
63 # -- Loading package standard
64 # -- Loading package std_logic_1164
65 # -- Loading package numeric_std
66 # -- Compiling package common_pkg
67 # -- Compiling package body common_pkg
68 # -- Loading package common_pkg
69 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
70 # -- Loading package standard
71 # -- Loading package std_logic_1164
72 # -- Loading package numeric_std
73 # -- Loading package common_pkg
74 # ** Error: (vcom-13) Recompile work.extension_pkg because work.common_pkg has changed.
75 # ** Error: ../src/core_pkg.vhd(7): (vcom-1195) Cannot find expanded name "work.extension_pkg".
76 # ** Error: ../src/core_pkg.vhd(7): Unknown expanded name.
77 # ** Error: ../src/core_pkg.vhd(9): VHDL Compiler exiting
78 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
79 # Error in macro ./testcore.do line 13
80 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
81 #     while executing
82 # "vcom -work work ../src/core_pkg.vhd"
83 do testcore.do
84 # ** Warning: (vlib-34) Library already exists at "work".
85 # Modifying modelsim.ini
86 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
87 # -- Loading package standard
88 # -- Loading package std_logic_1164
89 # -- Loading package numeric_std
90 # -- Compiling package mem_pkg
91 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
92 # -- Loading package standard
93 # -- Loading package std_logic_1164
94 # -- Loading package numeric_std
95 # -- Compiling entity r_w_ram
96 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
97 # -- Loading package standard
98 # -- Loading package std_logic_1164
99 # -- Loading package numeric_std
100 # -- Loading package mem_pkg
101 # -- Compiling architecture behaviour of r_w_ram
102 # -- Loading entity r_w_ram
103 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
104 # -- Loading package standard
105 # -- Loading package std_logic_1164
106 # -- Loading package numeric_std
107 # -- Loading package mem_pkg
108 # -- Compiling entity r2_w_ram
109 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
110 # -- Loading package standard
111 # -- Loading package std_logic_1164
112 # -- Loading package numeric_std
113 # -- Loading package mem_pkg
114 # -- Compiling architecture behaviour of r2_w_ram
115 # -- Loading entity r2_w_ram
116 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
117 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
118 # -- Loading package standard
119 # -- Loading package std_logic_1164
120 # -- Loading package numeric_std
121 # -- Compiling entity rom
122 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
123 # -- Loading package standard
124 # -- Loading package std_logic_1164
125 # -- Loading package numeric_std
126 # -- Loading package mem_pkg
127 # -- Compiling architecture behaviour of rom
128 # -- Loading entity rom
129 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
130 # -- Loading package standard
131 # -- Loading package std_logic_1164
132 # -- Loading package numeric_std
133 # -- Compiling package common_pkg
134 # -- Compiling package body common_pkg
135 # -- Loading package common_pkg
136 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
137 # -- Loading package standard
138 # -- Loading package std_logic_1164
139 # -- Loading package numeric_std
140 # -- Loading package common_pkg
141 # ** Error: (vcom-13) Recompile work.extension_pkg because work.common_pkg has changed.
142 # ** Error: ../src/core_pkg.vhd(7): (vcom-1195) Cannot find expanded name "work.extension_pkg".
143 # ** Error: ../src/core_pkg.vhd(7): Unknown expanded name.
144 # ** Error: ../src/core_pkg.vhd(9): VHDL Compiler exiting
145 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
146 # Error in macro ./testcore.do line 12
147 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
148 #     while executing
149 # "vcom -work work ../src/core_pkg.vhd"
150 do testcore.do
151 # ** Warning: (vlib-34) Library already exists at "work".
152 # Modifying modelsim.ini
153 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
154 # -- Loading package standard
155 # -- Loading package std_logic_1164
156 # -- Loading package numeric_std
157 # -- Compiling package mem_pkg
158 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
159 # -- Loading package standard
160 # -- Loading package std_logic_1164
161 # -- Loading package numeric_std
162 # -- Compiling entity r_w_ram
163 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
164 # -- Loading package standard
165 # -- Loading package std_logic_1164
166 # -- Loading package numeric_std
167 # -- Loading package mem_pkg
168 # -- Compiling architecture behaviour of r_w_ram
169 # -- Loading entity r_w_ram
170 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
171 # -- Loading package standard
172 # -- Loading package std_logic_1164
173 # -- Loading package numeric_std
174 # -- Loading package mem_pkg
175 # -- Compiling entity r2_w_ram
176 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
177 # -- Loading package standard
178 # -- Loading package std_logic_1164
179 # -- Loading package numeric_std
180 # -- Loading package mem_pkg
181 # -- Compiling architecture behaviour of r2_w_ram
182 # -- Loading entity r2_w_ram
183 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
184 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
185 # -- Loading package standard
186 # -- Loading package std_logic_1164
187 # -- Loading package numeric_std
188 # -- Compiling entity rom
189 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
190 # -- Loading package standard
191 # -- Loading package std_logic_1164
192 # -- Loading package numeric_std
193 # -- Loading package mem_pkg
194 # -- Compiling architecture behaviour of rom
195 # -- Loading entity rom
196 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
197 # -- Loading package standard
198 # -- Loading package std_logic_1164
199 # -- Loading package numeric_std
200 # -- Compiling package common_pkg
201 # -- Compiling package body common_pkg
202 # -- Loading package common_pkg
203 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
204 # -- Loading package standard
205 # -- Loading package std_logic_1164
206 # -- Loading package numeric_std
207 # -- Loading package common_pkg
208 # ** Error: (vcom-13) Recompile work.extension_pkg because work.common_pkg has changed.
209 # ** Error: ../src/core_pkg.vhd(7): (vcom-1195) Cannot find expanded name "work.extension_pkg".
210 # ** Error: ../src/core_pkg.vhd(7): Unknown expanded name.
211 # ** Error: ../src/core_pkg.vhd(9): VHDL Compiler exiting
212 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
213 # Error in macro ./testcore.do line 12
214 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
215 #     while executing
216 # "vcom -work work ../src/core_pkg.vhd"
217 do testcore.do
218 # ** Warning: (vlib-34) Library already exists at "work".
219 # Modifying modelsim.ini
220 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
221 # -- Loading package standard
222 # -- Loading package std_logic_1164
223 # -- Loading package numeric_std
224 # -- Compiling package mem_pkg
225 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
226 # -- Loading package standard
227 # -- Loading package std_logic_1164
228 # -- Loading package numeric_std
229 # -- Compiling entity r_w_ram
230 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
231 # -- Loading package standard
232 # -- Loading package std_logic_1164
233 # -- Loading package numeric_std
234 # -- Loading package mem_pkg
235 # -- Compiling architecture behaviour of r_w_ram
236 # -- Loading entity r_w_ram
237 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
238 # -- Loading package standard
239 # -- Loading package std_logic_1164
240 # -- Loading package numeric_std
241 # -- Loading package mem_pkg
242 # -- Compiling entity r2_w_ram
243 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
244 # -- Loading package standard
245 # -- Loading package std_logic_1164
246 # -- Loading package numeric_std
247 # -- Loading package mem_pkg
248 # -- Compiling architecture behaviour of r2_w_ram
249 # -- Loading entity r2_w_ram
250 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
251 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
252 # -- Loading package standard
253 # -- Loading package std_logic_1164
254 # -- Loading package numeric_std
255 # -- Compiling entity rom
256 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
257 # -- Loading package standard
258 # -- Loading package std_logic_1164
259 # -- Loading package numeric_std
260 # -- Loading package mem_pkg
261 # -- Compiling architecture behaviour of rom
262 # -- Loading entity rom
263 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
264 # -- Loading package standard
265 # -- Loading package std_logic_1164
266 # -- Loading package numeric_std
267 # -- Compiling package common_pkg
268 # -- Compiling package body common_pkg
269 # -- Loading package common_pkg
270 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
271 # -- Loading package standard
272 # -- Loading package std_logic_1164
273 # -- Loading package numeric_std
274 # -- Loading package common_pkg
275 # -- Compiling package extension_pkg
276 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
277 # -- Loading package standard
278 # -- Loading package std_logic_1164
279 # -- Loading package numeric_std
280 # -- Loading package common_pkg
281 # -- Loading package extension_pkg
282 # -- Compiling package core_pkg
283 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
284 # -- Loading package standard
285 # -- Loading package std_logic_1164
286 # -- Loading package numeric_std
287 # -- Loading package common_pkg
288 # -- Loading package extension_pkg
289 # -- Compiling package extension_uart_pkg
290 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
291 # -- Loading package standard
292 # -- Loading package std_logic_1164
293 # -- Loading package numeric_std
294 # -- Loading package common_pkg
295 # -- Loading package extension_pkg
296 # -- Loading package extension_uart_pkg
297 # -- Compiling entity extension_uart
298 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
299 # -- Loading package standard
300 # -- Loading package std_logic_1164
301 # -- Loading package numeric_std
302 # -- Loading package common_pkg
303 # -- Loading package extension_pkg
304 # -- Loading package core_pkg
305 # -- Loading package mem_pkg
306 # -- Loading package extension_uart_pkg
307 # -- Compiling architecture behav of extension_uart
308 # -- Loading entity extension_uart
309 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
310 # -- Loading package standard
311 # -- Loading package std_logic_1164
312 # -- Loading package numeric_std
313 # -- Loading package common_pkg
314 # -- Loading package extension_pkg
315 # -- Compiling package extension_7seg_pkg
316 # -- Compiling package body extension_7seg_pkg
317 # -- Loading package extension_7seg_pkg
318 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
319 # -- Loading package standard
320 # -- Loading package std_logic_1164
321 # -- Loading package numeric_std
322 # -- Loading package common_pkg
323 # -- Loading package extension_pkg
324 # -- Loading package extension_7seg_pkg
325 # -- Compiling entity extension_7seg
326 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
327 # -- Loading package standard
328 # -- Loading package std_logic_1164
329 # -- Loading package numeric_std
330 # -- Loading package common_pkg
331 # -- Loading package extension_pkg
332 # -- Loading package core_pkg
333 # -- Loading package mem_pkg
334 # -- Loading package extension_7seg_pkg
335 # -- Compiling architecture behav of extension_7seg
336 # -- Loading entity extension_7seg
337 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
338 # -- Loading package standard
339 # -- Loading package std_logic_1164
340 # -- Loading package std_logic_arith
341 # -- Loading package std_logic_unsigned
342 # -- Loading package numeric_std
343 # -- Loading package common_pkg
344 # -- Loading package extension_pkg
345 # -- Loading package core_pkg
346 # -- Loading package extension_uart_pkg
347 # -- Compiling entity rs232_tx
348 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
349 # -- Loading package standard
350 # -- Loading package std_logic_1164
351 # -- Loading package numeric_std
352 # -- Loading package common_pkg
353 # -- Loading package extension_pkg
354 # -- Loading package core_pkg
355 # -- Loading package extension_uart_pkg
356 # -- Compiling architecture beh of rs232_tx
357 # -- Loading package std_logic_arith
358 # -- Loading package std_logic_unsigned
359 # -- Loading entity rs232_tx
360 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
361 # -- Loading package standard
362 # -- Loading package std_logic_1164
363 # -- Loading package std_logic_arith
364 # -- Loading package std_logic_unsigned
365 # -- Loading package numeric_std
366 # -- Loading package common_pkg
367 # -- Loading package extension_pkg
368 # -- Loading package core_pkg
369 # -- Loading package extension_uart_pkg
370 # -- Compiling entity rs232_rx
371 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
372 # -- Loading package standard
373 # -- Loading package std_logic_1164
374 # -- Loading package numeric_std
375 # -- Loading package common_pkg
376 # -- Loading package extension_pkg
377 # -- Loading package extension_uart_pkg
378 # -- Loading package core_pkg
379 # -- Compiling architecture beh of rs232_rx
380 # -- Loading package std_logic_arith
381 # -- Loading package std_logic_unsigned
382 # -- Loading entity rs232_rx
383 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
384 # -- Loading package standard
385 # -- Loading package std_logic_1164
386 # -- Loading package numeric_std
387 # -- Loading package common_pkg
388 # -- Loading package extension_pkg
389 # -- Loading package core_pkg
390 # -- Compiling entity decoder
391 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
392 # -- Loading package standard
393 # -- Loading package std_logic_1164
394 # -- Loading package numeric_std
395 # -- Loading package mem_pkg
396 # -- Loading package common_pkg
397 # -- Loading package extension_pkg
398 # -- Loading package core_pkg
399 # -- Compiling architecture behav_d of decoder
400 # -- Loading entity decoder
401 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
402 # -- Loading package standard
403 # -- Loading package std_logic_1164
404 # -- Loading package numeric_std
405 # -- Loading package common_pkg
406 # -- Loading package extension_pkg
407 # -- Loading package core_pkg
408 # -- Compiling entity fetch_stage
409 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
410 # -- Loading package standard
411 # -- Loading package std_logic_1164
412 # -- Loading package numeric_std
413 # -- Loading package common_pkg
414 # -- Loading package extension_pkg
415 # -- Loading package core_pkg
416 # -- Loading package mem_pkg
417 # -- Compiling architecture behav of fetch_stage
418 # -- Loading entity fetch_stage
419 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
420 # -- Loading package standard
421 # -- Loading package std_logic_1164
422 # -- Loading package numeric_std
423 # -- Loading package common_pkg
424 # -- Loading package extension_pkg
425 # -- Loading package core_pkg
426 # -- Compiling entity decode_stage
427 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
428 # -- Loading package standard
429 # -- Loading package std_logic_1164
430 # -- Loading package numeric_std
431 # -- Loading package mem_pkg
432 # -- Loading package common_pkg
433 # -- Loading package extension_pkg
434 # -- Loading package core_pkg
435 # -- Compiling architecture behav of decode_stage
436 # -- Loading entity decode_stage
437 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
438 # -- Loading package standard
439 # -- Loading package std_logic_1164
440 # -- Loading package numeric_std
441 # -- Loading package common_pkg
442 # -- Loading package extension_pkg
443 # -- Compiling package alu_pkg
444 # -- Compiling package body alu_pkg
445 # -- Loading package alu_pkg
446 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
447 # -- Loading package standard
448 # -- Loading package std_logic_1164
449 # -- Loading package numeric_std
450 # -- Loading package common_pkg
451 # -- Compiling package extension_pkg
452 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
453 # -- Loading package standard
454 # -- Loading package std_logic_1164
455 # -- Loading package numeric_std
456 # -- Loading package common_pkg
457 # -- Loading package extension_pkg
458 # -- Loading package alu_pkg
459 # -- Compiling entity exec_op
460 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
461 # -- Loading package standard
462 # -- Loading package std_logic_1164
463 # -- Loading package numeric_std
464 # -- Loading package common_pkg
465 # -- Loading package extension_pkg
466 # -- Loading package alu_pkg
467 # -- Compiling architecture add_op of exec_op
468 # -- Loading entity exec_op
469 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
470 # -- Loading package standard
471 # -- Loading package std_logic_1164
472 # -- Loading package numeric_std
473 # -- Loading package common_pkg
474 # -- Loading package extension_pkg
475 # -- Loading package alu_pkg
476 # -- Compiling architecture and_op of exec_op
477 # -- Loading entity exec_op
478 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
479 # -- Loading package standard
480 # -- Loading package std_logic_1164
481 # -- Loading package numeric_std
482 # -- Loading package common_pkg
483 # -- Loading package extension_pkg
484 # -- Loading package alu_pkg
485 # -- Compiling architecture or_op of exec_op
486 # -- Loading entity exec_op
487 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
488 # -- Loading package standard
489 # -- Loading package std_logic_1164
490 # -- Loading package numeric_std
491 # -- Loading package common_pkg
492 # -- Loading package extension_pkg
493 # -- Loading package alu_pkg
494 # -- Compiling architecture xor_op of exec_op
495 # -- Loading entity exec_op
496 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
497 # -- Loading package standard
498 # -- Loading package std_logic_1164
499 # -- Loading package numeric_std
500 # -- Loading package common_pkg
501 # -- Loading package extension_pkg
502 # -- Loading package alu_pkg
503 # -- Compiling architecture shift_op of exec_op
504 # -- Loading entity exec_op
505 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
506 # -- Loading package standard
507 # -- Loading package std_logic_1164
508 # -- Loading package numeric_std
509 # -- Loading package common_pkg
510 # -- Loading package extension_pkg
511 # -- Loading package alu_pkg
512 # -- Compiling entity alu
513 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
514 # -- Loading package standard
515 # -- Loading package std_logic_1164
516 # -- Loading package numeric_std
517 # -- Loading package common_pkg
518 # -- Loading package extension_pkg
519 # -- Loading package alu_pkg
520 # -- Compiling architecture behaviour of alu
521 # -- Loading entity alu
522 # -- Loading entity exec_op
523 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
524 # -- Loading package standard
525 # -- Loading package std_logic_1164
526 # -- Loading package numeric_std
527 # -- Loading package common_pkg
528 # -- Compiling package extension_pkg
529 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
530 # -- Loading package standard
531 # -- Loading package std_logic_1164
532 # -- Loading package numeric_std
533 # -- Loading package common_pkg
534 # -- Loading package extension_pkg
535 # -- Compiling entity extension_gpm
536 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
537 # -- Loading package standard
538 # -- Loading package std_logic_1164
539 # -- Loading package numeric_std
540 # -- Loading package common_pkg
541 # -- Loading package extension_pkg
542 # -- Loading package core_pkg
543 # -- Loading package mem_pkg
544 # -- Compiling architecture behav of extension_gpm
545 # -- Loading entity extension_gpm
546 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
547 # -- Loading package standard
548 # -- Loading package std_logic_1164
549 # -- Loading package numeric_std
550 # -- Loading package common_pkg
551 # -- Loading package extension_pkg
552 # -- Loading package alu_pkg
553 # -- Compiling entity execute_stage
554 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
555 # -- Loading package standard
556 # -- Loading package std_logic_1164
557 # -- Loading package numeric_std
558 # -- Loading package common_pkg
559 # -- Loading package extension_pkg
560 # -- Loading package alu_pkg
561 # -- Compiling architecture behav of execute_stage
562 # -- Loading entity execute_stage
563 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
564 # -- Loading package standard
565 # -- Loading package std_logic_1164
566 # -- Loading package numeric_std
567 # -- Loading package common_pkg
568 # -- Compiling entity writeback_stage
569 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
570 # -- Loading package standard
571 # -- Loading package std_logic_1164
572 # -- Loading package numeric_std
573 # -- Loading package common_pkg
574 # -- Loading package extension_pkg
575 # -- Loading package core_pkg
576 # -- Loading package mem_pkg
577 # -- Loading package extension_uart_pkg
578 # -- Loading package extension_7seg_pkg
579 # -- Compiling architecture behav of writeback_stage
580 # -- Loading entity writeback_stage
581 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
582 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
583 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
584 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
585 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
586 # -- Loading package standard
587 # -- Loading package std_logic_1164
588 # -- Loading package numeric_std
589 # -- Loading package common_pkg
590 # -- Loading package extension_pkg
591 # -- Loading package core_pkg
592 # -- Compiling entity pipeline_tb
593 # -- Compiling architecture behavior of pipeline_tb
594 # -- Compiling configuration pipeline_conf_beh
595 # -- Loading entity pipeline_tb
596 # -- Loading architecture behavior of pipeline_tb
597 # -- Loading entity fetch_stage
598 # -- Loading entity decode_stage
599 # -- Loading package alu_pkg
600 # -- Loading entity execute_stage
601 # -- Loading entity writeback_stage
602 # vsim -t ns work.pipeline_conf_beh 
603 # Loading std.standard
604 # Loading ieee.std_logic_1164(body)
605 # Loading ieee.numeric_std(body)
606 # Loading work.common_pkg(body)
607 # Loading work.extension_pkg
608 # Loading work.core_pkg
609 # Loading work.alu_pkg(body)
610 # Loading work.pipeline_conf_beh
611 # Loading work.pipeline_tb(behavior)
612 # Loading work.mem_pkg
613 # Loading work.fetch_stage(behav)
614 # Loading work.r_w_ram(behaviour)
615 # Loading work.decode_stage(behav)
616 # Loading work.r2_w_ram(behaviour)
617 # Loading work.decoder(behav_d)
618 # Loading work.execute_stage(behav)
619 # Loading work.alu(behaviour)
620 # Loading work.exec_op(add_op)
621 # Loading work.exec_op(and_op)
622 # Loading work.exec_op(or_op)
623 # Loading work.exec_op(xor_op)
624 # Loading work.exec_op(shift_op)
625 # Loading work.extension_gpm(behav)
626 # Loading work.extension_uart_pkg
627 # Loading work.extension_7seg_pkg(body)
628 # Loading work.writeback_stage(behav)
629 # Loading work.extension_uart(behav)
630 # Loading ieee.std_logic_arith(body)
631 # Loading ieee.std_logic_unsigned(body)
632 # Loading work.rs232_tx(beh)
633 # Loading work.rs232_rx(beh)
634 # Loading work.extension_7seg(behav)
635 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
636 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
637 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
638 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
639 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
640 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
641 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
642 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
643 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
644 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
645 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
646 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
647 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
648 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
649 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
650 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
651 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
652 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
653 do testcore.do
654 # ** Warning: (vlib-34) Library already exists at "work".
655 # Modifying modelsim.ini
656 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
657 # -- Loading package standard
658 # -- Loading package std_logic_1164
659 # -- Loading package numeric_std
660 # -- Compiling package mem_pkg
661 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
662 # -- Loading package standard
663 # -- Loading package std_logic_1164
664 # -- Loading package numeric_std
665 # -- Compiling entity r_w_ram
666 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
667 # -- Loading package standard
668 # -- Loading package std_logic_1164
669 # -- Loading package numeric_std
670 # -- Loading package mem_pkg
671 # -- Compiling architecture behaviour of r_w_ram
672 # -- Loading entity r_w_ram
673 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
674 # -- Loading package standard
675 # -- Loading package std_logic_1164
676 # -- Loading package numeric_std
677 # -- Loading package mem_pkg
678 # -- Compiling entity r2_w_ram
679 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
680 # -- Loading package standard
681 # -- Loading package std_logic_1164
682 # -- Loading package numeric_std
683 # -- Loading package mem_pkg
684 # -- Compiling architecture behaviour of r2_w_ram
685 # -- Loading entity r2_w_ram
686 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
687 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
688 # -- Loading package standard
689 # -- Loading package std_logic_1164
690 # -- Loading package numeric_std
691 # -- Compiling entity rom
692 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
693 # -- Loading package standard
694 # -- Loading package std_logic_1164
695 # -- Loading package numeric_std
696 # -- Loading package mem_pkg
697 # -- Compiling architecture behaviour of rom
698 # -- Loading entity rom
699 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
700 # -- Loading package standard
701 # -- Loading package std_logic_1164
702 # -- Loading package numeric_std
703 # -- Compiling package common_pkg
704 # -- Compiling package body common_pkg
705 # -- Loading package common_pkg
706 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
707 # -- Loading package standard
708 # -- Loading package std_logic_1164
709 # -- Loading package numeric_std
710 # -- Loading package common_pkg
711 # -- Compiling package extension_pkg
712 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
713 # -- Loading package standard
714 # -- Loading package std_logic_1164
715 # -- Loading package numeric_std
716 # -- Loading package common_pkg
717 # -- Loading package extension_pkg
718 # -- Compiling package core_pkg
719 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
720 # -- Loading package standard
721 # -- Loading package std_logic_1164
722 # -- Loading package numeric_std
723 # -- Loading package common_pkg
724 # -- Loading package extension_pkg
725 # -- Compiling package extension_uart_pkg
726 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
727 # -- Loading package standard
728 # -- Loading package std_logic_1164
729 # -- Loading package numeric_std
730 # -- Loading package common_pkg
731 # -- Loading package extension_pkg
732 # -- Loading package extension_uart_pkg
733 # -- Compiling entity extension_uart
734 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
735 # -- Loading package standard
736 # -- Loading package std_logic_1164
737 # -- Loading package numeric_std
738 # -- Loading package common_pkg
739 # -- Loading package extension_pkg
740 # -- Loading package core_pkg
741 # -- Loading package mem_pkg
742 # -- Loading package extension_uart_pkg
743 # -- Compiling architecture behav of extension_uart
744 # -- Loading entity extension_uart
745 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
746 # -- Loading package standard
747 # -- Loading package std_logic_1164
748 # -- Loading package numeric_std
749 # -- Loading package common_pkg
750 # -- Loading package extension_pkg
751 # -- Compiling package extension_7seg_pkg
752 # -- Compiling package body extension_7seg_pkg
753 # -- Loading package extension_7seg_pkg
754 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
755 # -- Loading package standard
756 # -- Loading package std_logic_1164
757 # -- Loading package numeric_std
758 # -- Loading package common_pkg
759 # -- Loading package extension_pkg
760 # -- Loading package extension_7seg_pkg
761 # -- Compiling entity extension_7seg
762 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
763 # -- Loading package standard
764 # -- Loading package std_logic_1164
765 # -- Loading package numeric_std
766 # -- Loading package common_pkg
767 # -- Loading package extension_pkg
768 # -- Loading package core_pkg
769 # -- Loading package mem_pkg
770 # -- Loading package extension_7seg_pkg
771 # -- Compiling architecture behav of extension_7seg
772 # -- Loading entity extension_7seg
773 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
774 # -- Loading package standard
775 # -- Loading package std_logic_1164
776 # -- Loading package std_logic_arith
777 # -- Loading package std_logic_unsigned
778 # -- Loading package numeric_std
779 # -- Loading package common_pkg
780 # -- Loading package extension_pkg
781 # -- Loading package core_pkg
782 # -- Loading package extension_uart_pkg
783 # -- Compiling entity rs232_tx
784 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
785 # -- Loading package standard
786 # -- Loading package std_logic_1164
787 # -- Loading package numeric_std
788 # -- Loading package common_pkg
789 # -- Loading package extension_pkg
790 # -- Loading package core_pkg
791 # -- Loading package extension_uart_pkg
792 # -- Compiling architecture beh of rs232_tx
793 # -- Loading package std_logic_arith
794 # -- Loading package std_logic_unsigned
795 # -- Loading entity rs232_tx
796 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
797 # -- Loading package standard
798 # -- Loading package std_logic_1164
799 # -- Loading package std_logic_arith
800 # -- Loading package std_logic_unsigned
801 # -- Loading package numeric_std
802 # -- Loading package common_pkg
803 # -- Loading package extension_pkg
804 # -- Loading package core_pkg
805 # -- Loading package extension_uart_pkg
806 # -- Compiling entity rs232_rx
807 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
808 # -- Loading package standard
809 # -- Loading package std_logic_1164
810 # -- Loading package numeric_std
811 # -- Loading package common_pkg
812 # -- Loading package extension_pkg
813 # -- Loading package extension_uart_pkg
814 # -- Loading package core_pkg
815 # -- Compiling architecture beh of rs232_rx
816 # -- Loading package std_logic_arith
817 # -- Loading package std_logic_unsigned
818 # -- Loading entity rs232_rx
819 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
820 # -- Loading package standard
821 # -- Loading package std_logic_1164
822 # -- Loading package numeric_std
823 # -- Loading package common_pkg
824 # -- Loading package extension_pkg
825 # -- Loading package core_pkg
826 # -- Compiling entity decoder
827 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
828 # -- Loading package standard
829 # -- Loading package std_logic_1164
830 # -- Loading package numeric_std
831 # -- Loading package mem_pkg
832 # -- Loading package common_pkg
833 # -- Loading package extension_pkg
834 # -- Loading package core_pkg
835 # -- Compiling architecture behav_d of decoder
836 # -- Loading entity decoder
837 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
838 # -- Loading package standard
839 # -- Loading package std_logic_1164
840 # -- Loading package numeric_std
841 # -- Loading package common_pkg
842 # -- Loading package extension_pkg
843 # -- Loading package core_pkg
844 # -- Compiling entity fetch_stage
845 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
846 # -- Loading package standard
847 # -- Loading package std_logic_1164
848 # -- Loading package numeric_std
849 # -- Loading package common_pkg
850 # -- Loading package extension_pkg
851 # -- Loading package core_pkg
852 # -- Loading package mem_pkg
853 # -- Compiling architecture behav of fetch_stage
854 # -- Loading entity fetch_stage
855 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
856 # -- Loading package standard
857 # -- Loading package std_logic_1164
858 # -- Loading package numeric_std
859 # -- Loading package common_pkg
860 # -- Loading package extension_pkg
861 # -- Loading package core_pkg
862 # -- Compiling entity decode_stage
863 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
864 # -- Loading package standard
865 # -- Loading package std_logic_1164
866 # -- Loading package numeric_std
867 # -- Loading package mem_pkg
868 # -- Loading package common_pkg
869 # -- Loading package extension_pkg
870 # -- Loading package core_pkg
871 # -- Compiling architecture behav of decode_stage
872 # -- Loading entity decode_stage
873 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
874 # -- Loading package standard
875 # -- Loading package std_logic_1164
876 # -- Loading package numeric_std
877 # -- Loading package common_pkg
878 # -- Loading package extension_pkg
879 # -- Compiling package alu_pkg
880 # -- Compiling package body alu_pkg
881 # -- Loading package alu_pkg
882 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
883 # -- Loading package standard
884 # -- Loading package std_logic_1164
885 # -- Loading package numeric_std
886 # -- Loading package common_pkg
887 # -- Compiling package extension_pkg
888 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
889 # -- Loading package standard
890 # -- Loading package std_logic_1164
891 # -- Loading package numeric_std
892 # -- Loading package common_pkg
893 # -- Loading package extension_pkg
894 # -- Loading package alu_pkg
895 # -- Compiling entity exec_op
896 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
897 # -- Loading package standard
898 # -- Loading package std_logic_1164
899 # -- Loading package numeric_std
900 # -- Loading package common_pkg
901 # -- Loading package extension_pkg
902 # -- Loading package alu_pkg
903 # -- Compiling architecture add_op of exec_op
904 # -- Loading entity exec_op
905 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
906 # -- Loading package standard
907 # -- Loading package std_logic_1164
908 # -- Loading package numeric_std
909 # -- Loading package common_pkg
910 # -- Loading package extension_pkg
911 # -- Loading package alu_pkg
912 # -- Compiling architecture and_op of exec_op
913 # -- Loading entity exec_op
914 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
915 # -- Loading package standard
916 # -- Loading package std_logic_1164
917 # -- Loading package numeric_std
918 # -- Loading package common_pkg
919 # -- Loading package extension_pkg
920 # -- Loading package alu_pkg
921 # -- Compiling architecture or_op of exec_op
922 # -- Loading entity exec_op
923 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
924 # -- Loading package standard
925 # -- Loading package std_logic_1164
926 # -- Loading package numeric_std
927 # -- Loading package common_pkg
928 # -- Loading package extension_pkg
929 # -- Loading package alu_pkg
930 # -- Compiling architecture xor_op of exec_op
931 # -- Loading entity exec_op
932 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
933 # -- Loading package standard
934 # -- Loading package std_logic_1164
935 # -- Loading package numeric_std
936 # -- Loading package common_pkg
937 # -- Loading package extension_pkg
938 # -- Loading package alu_pkg
939 # -- Compiling architecture shift_op of exec_op
940 # -- Loading entity exec_op
941 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
942 # -- Loading package standard
943 # -- Loading package std_logic_1164
944 # -- Loading package numeric_std
945 # -- Loading package common_pkg
946 # -- Loading package extension_pkg
947 # -- Loading package alu_pkg
948 # -- Compiling entity alu
949 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
950 # -- Loading package standard
951 # -- Loading package std_logic_1164
952 # -- Loading package numeric_std
953 # -- Loading package common_pkg
954 # -- Loading package extension_pkg
955 # -- Loading package alu_pkg
956 # -- Compiling architecture behaviour of alu
957 # -- Loading entity alu
958 # -- Loading entity exec_op
959 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
960 # -- Loading package standard
961 # -- Loading package std_logic_1164
962 # -- Loading package numeric_std
963 # -- Loading package common_pkg
964 # -- Compiling package extension_pkg
965 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
966 # -- Loading package standard
967 # -- Loading package std_logic_1164
968 # -- Loading package numeric_std
969 # -- Loading package common_pkg
970 # -- Loading package extension_pkg
971 # -- Compiling entity extension_gpm
972 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
973 # -- Loading package standard
974 # -- Loading package std_logic_1164
975 # -- Loading package numeric_std
976 # -- Loading package common_pkg
977 # -- Loading package extension_pkg
978 # -- Loading package core_pkg
979 # -- Loading package mem_pkg
980 # -- Compiling architecture behav of extension_gpm
981 # -- Loading entity extension_gpm
982 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
983 # -- Loading package standard
984 # -- Loading package std_logic_1164
985 # -- Loading package numeric_std
986 # -- Loading package common_pkg
987 # -- Loading package extension_pkg
988 # -- Loading package alu_pkg
989 # -- Compiling entity execute_stage
990 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
991 # -- Loading package standard
992 # -- Loading package std_logic_1164
993 # -- Loading package numeric_std
994 # -- Loading package common_pkg
995 # -- Loading package extension_pkg
996 # -- Loading package alu_pkg
997 # -- Compiling architecture behav of execute_stage
998 # -- Loading entity execute_stage
999 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1000 # -- Loading package standard
1001 # -- Loading package std_logic_1164
1002 # -- Loading package numeric_std
1003 # -- Loading package common_pkg
1004 # -- Compiling entity writeback_stage
1005 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1006 # -- Loading package standard
1007 # -- Loading package std_logic_1164
1008 # -- Loading package numeric_std
1009 # -- Loading package common_pkg
1010 # -- Loading package extension_pkg
1011 # -- Loading package core_pkg
1012 # -- Loading package mem_pkg
1013 # -- Loading package extension_uart_pkg
1014 # -- Loading package extension_7seg_pkg
1015 # -- Compiling architecture behav of writeback_stage
1016 # -- Loading entity writeback_stage
1017 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
1018 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
1019 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
1020 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
1021 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1022 # -- Loading package standard
1023 # -- Loading package std_logic_1164
1024 # -- Loading package numeric_std
1025 # -- Loading package common_pkg
1026 # -- Loading package extension_pkg
1027 # -- Loading package core_pkg
1028 # -- Compiling entity pipeline_tb
1029 # -- Compiling architecture behavior of pipeline_tb
1030 # -- Compiling configuration pipeline_conf_beh
1031 # -- Loading entity pipeline_tb
1032 # -- Loading architecture behavior of pipeline_tb
1033 # -- Loading entity fetch_stage
1034 # -- Loading entity decode_stage
1035 # -- Loading package alu_pkg
1036 # -- Loading entity execute_stage
1037 # -- Loading entity writeback_stage
1038 # vsim -t ns work.pipeline_conf_beh 
1039 # Loading std.standard
1040 # Loading ieee.std_logic_1164(body)
1041 # Loading ieee.numeric_std(body)
1042 # Loading work.common_pkg(body)
1043 # Loading work.extension_pkg
1044 # Loading work.core_pkg
1045 # Loading work.alu_pkg(body)
1046 # Loading work.pipeline_conf_beh
1047 # Loading work.pipeline_tb(behavior)
1048 # Loading work.mem_pkg
1049 # Loading work.fetch_stage(behav)
1050 # Loading work.rom(behaviour)
1051 # Loading work.decode_stage(behav)
1052 # Loading work.r2_w_ram(behaviour)
1053 # Loading work.decoder(behav_d)
1054 # Loading work.execute_stage(behav)
1055 # Loading work.alu(behaviour)
1056 # Loading work.exec_op(add_op)
1057 # Loading work.exec_op(and_op)
1058 # Loading work.exec_op(or_op)
1059 # Loading work.exec_op(xor_op)
1060 # Loading work.exec_op(shift_op)
1061 # Loading work.extension_gpm(behav)
1062 # Loading work.extension_uart_pkg
1063 # Loading work.extension_7seg_pkg(body)
1064 # Loading work.writeback_stage(behav)
1065 # Loading work.r_w_ram(behaviour)
1066 # Loading work.extension_uart(behav)
1067 # Loading ieee.std_logic_arith(body)
1068 # Loading ieee.std_logic_unsigned(body)
1069 # Loading work.rs232_tx(beh)
1070 # Loading work.rs232_rx(beh)
1071 # Loading work.extension_7seg(behav)
1072 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1073 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
1074 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1075 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1076 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1077 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1078 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1079 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
1080 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1081 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1082 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1083 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1084 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1085 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1086 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1087 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1088 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1089 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1090 do testcore.do
1091 # ** Warning: (vlib-34) Library already exists at "work".
1092 # Modifying modelsim.ini
1093 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1094 # -- Loading package standard
1095 # -- Loading package std_logic_1164
1096 # -- Loading package numeric_std
1097 # -- Compiling package mem_pkg
1098 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1099 # -- Loading package standard
1100 # -- Loading package std_logic_1164
1101 # -- Loading package numeric_std
1102 # -- Compiling entity r_w_ram
1103 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1104 # -- Loading package standard
1105 # -- Loading package std_logic_1164
1106 # -- Loading package numeric_std
1107 # -- Loading package mem_pkg
1108 # -- Compiling architecture behaviour of r_w_ram
1109 # -- Loading entity r_w_ram
1110 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1111 # -- Loading package standard
1112 # -- Loading package std_logic_1164
1113 # -- Loading package numeric_std
1114 # -- Loading package mem_pkg
1115 # -- Compiling entity r2_w_ram
1116 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1117 # -- Loading package standard
1118 # -- Loading package std_logic_1164
1119 # -- Loading package numeric_std
1120 # -- Loading package mem_pkg
1121 # -- Compiling architecture behaviour of r2_w_ram
1122 # -- Loading entity r2_w_ram
1123 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1124 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1125 # -- Loading package standard
1126 # -- Loading package std_logic_1164
1127 # -- Loading package numeric_std
1128 # -- Compiling entity rom
1129 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1130 # -- Loading package standard
1131 # -- Loading package std_logic_1164
1132 # -- Loading package numeric_std
1133 # -- Loading package mem_pkg
1134 # -- Compiling architecture behaviour of rom
1135 # -- Loading entity rom
1136 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1137 # -- Loading package standard
1138 # -- Loading package std_logic_1164
1139 # -- Loading package numeric_std
1140 # -- Compiling package common_pkg
1141 # -- Compiling package body common_pkg
1142 # -- Loading package common_pkg
1143 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1144 # -- Loading package standard
1145 # -- Loading package std_logic_1164
1146 # -- Loading package numeric_std
1147 # -- Loading package common_pkg
1148 # -- Compiling package extension_pkg
1149 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1150 # -- Loading package standard
1151 # -- Loading package std_logic_1164
1152 # -- Loading package numeric_std
1153 # -- Loading package common_pkg
1154 # -- Loading package extension_pkg
1155 # -- Compiling package core_pkg
1156 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1157 # -- Loading package standard
1158 # -- Loading package std_logic_1164
1159 # -- Loading package numeric_std
1160 # -- Loading package common_pkg
1161 # -- Loading package extension_pkg
1162 # -- Compiling package extension_uart_pkg
1163 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1164 # -- Loading package standard
1165 # -- Loading package std_logic_1164
1166 # -- Loading package numeric_std
1167 # -- Loading package common_pkg
1168 # -- Loading package extension_pkg
1169 # -- Loading package extension_uart_pkg
1170 # -- Compiling entity extension_uart
1171 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1172 # -- Loading package standard
1173 # -- Loading package std_logic_1164
1174 # -- Loading package numeric_std
1175 # -- Loading package common_pkg
1176 # -- Loading package extension_pkg
1177 # -- Loading package core_pkg
1178 # -- Loading package mem_pkg
1179 # -- Loading package extension_uart_pkg
1180 # -- Compiling architecture behav of extension_uart
1181 # -- Loading entity extension_uart
1182 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1183 # -- Loading package standard
1184 # -- Loading package std_logic_1164
1185 # -- Loading package numeric_std
1186 # -- Loading package common_pkg
1187 # -- Loading package extension_pkg
1188 # -- Compiling package extension_7seg_pkg
1189 # -- Compiling package body extension_7seg_pkg
1190 # -- Loading package extension_7seg_pkg
1191 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1192 # -- Loading package standard
1193 # -- Loading package std_logic_1164
1194 # -- Loading package numeric_std
1195 # -- Loading package common_pkg
1196 # -- Loading package extension_pkg
1197 # -- Loading package extension_7seg_pkg
1198 # -- Compiling entity extension_7seg
1199 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1200 # -- Loading package standard
1201 # -- Loading package std_logic_1164
1202 # -- Loading package numeric_std
1203 # -- Loading package common_pkg
1204 # -- Loading package extension_pkg
1205 # -- Loading package core_pkg
1206 # -- Loading package mem_pkg
1207 # -- Loading package extension_7seg_pkg
1208 # -- Compiling architecture behav of extension_7seg
1209 # -- Loading entity extension_7seg
1210 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1211 # -- Loading package standard
1212 # -- Loading package std_logic_1164
1213 # -- Loading package std_logic_arith
1214 # -- Loading package std_logic_unsigned
1215 # -- Loading package numeric_std
1216 # -- Loading package common_pkg
1217 # -- Loading package extension_pkg
1218 # -- Loading package core_pkg
1219 # -- Loading package extension_uart_pkg
1220 # -- Compiling entity rs232_tx
1221 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1222 # -- Loading package standard
1223 # -- Loading package std_logic_1164
1224 # -- Loading package numeric_std
1225 # -- Loading package common_pkg
1226 # -- Loading package extension_pkg
1227 # -- Loading package core_pkg
1228 # -- Loading package extension_uart_pkg
1229 # -- Compiling architecture beh of rs232_tx
1230 # -- Loading package std_logic_arith
1231 # -- Loading package std_logic_unsigned
1232 # -- Loading entity rs232_tx
1233 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1234 # -- Loading package standard
1235 # -- Loading package std_logic_1164
1236 # -- Loading package std_logic_arith
1237 # -- Loading package std_logic_unsigned
1238 # -- Loading package numeric_std
1239 # -- Loading package common_pkg
1240 # -- Loading package extension_pkg
1241 # -- Loading package core_pkg
1242 # -- Loading package extension_uart_pkg
1243 # -- Compiling entity rs232_rx
1244 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1245 # -- Loading package standard
1246 # -- Loading package std_logic_1164
1247 # -- Loading package numeric_std
1248 # -- Loading package common_pkg
1249 # -- Loading package extension_pkg
1250 # -- Loading package extension_uart_pkg
1251 # -- Loading package core_pkg
1252 # -- Compiling architecture beh of rs232_rx
1253 # -- Loading package std_logic_arith
1254 # -- Loading package std_logic_unsigned
1255 # -- Loading entity rs232_rx
1256 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1257 # -- Loading package standard
1258 # -- Loading package std_logic_1164
1259 # -- Loading package numeric_std
1260 # -- Loading package common_pkg
1261 # -- Loading package extension_pkg
1262 # -- Loading package core_pkg
1263 # -- Compiling entity decoder
1264 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1265 # -- Loading package standard
1266 # -- Loading package std_logic_1164
1267 # -- Loading package numeric_std
1268 # -- Loading package mem_pkg
1269 # -- Loading package common_pkg
1270 # -- Loading package extension_pkg
1271 # -- Loading package core_pkg
1272 # -- Compiling architecture behav_d of decoder
1273 # -- Loading entity decoder
1274 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1275 # -- Loading package standard
1276 # -- Loading package std_logic_1164
1277 # -- Loading package numeric_std
1278 # -- Loading package common_pkg
1279 # -- Loading package extension_pkg
1280 # -- Loading package core_pkg
1281 # -- Compiling entity fetch_stage
1282 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1283 # -- Loading package standard
1284 # -- Loading package std_logic_1164
1285 # -- Loading package numeric_std
1286 # -- Loading package common_pkg
1287 # -- Loading package extension_pkg
1288 # -- Loading package core_pkg
1289 # -- Loading package mem_pkg
1290 # -- Compiling architecture behav of fetch_stage
1291 # -- Loading entity fetch_stage
1292 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1293 # -- Loading package standard
1294 # -- Loading package std_logic_1164
1295 # -- Loading package numeric_std
1296 # -- Loading package common_pkg
1297 # -- Loading package extension_pkg
1298 # -- Loading package core_pkg
1299 # -- Compiling entity decode_stage
1300 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1301 # -- Loading package standard
1302 # -- Loading package std_logic_1164
1303 # -- Loading package numeric_std
1304 # -- Loading package mem_pkg
1305 # -- Loading package common_pkg
1306 # -- Loading package extension_pkg
1307 # -- Loading package core_pkg
1308 # -- Compiling architecture behav of decode_stage
1309 # -- Loading entity decode_stage
1310 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1311 # -- Loading package standard
1312 # -- Loading package std_logic_1164
1313 # -- Loading package numeric_std
1314 # -- Loading package common_pkg
1315 # -- Loading package extension_pkg
1316 # -- Compiling package alu_pkg
1317 # -- Compiling package body alu_pkg
1318 # -- Loading package alu_pkg
1319 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1320 # -- Loading package standard
1321 # -- Loading package std_logic_1164
1322 # -- Loading package numeric_std
1323 # -- Loading package common_pkg
1324 # -- Compiling package extension_pkg
1325 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1326 # -- Loading package standard
1327 # -- Loading package std_logic_1164
1328 # -- Loading package numeric_std
1329 # -- Loading package common_pkg
1330 # -- Loading package extension_pkg
1331 # -- Loading package alu_pkg
1332 # -- Compiling entity exec_op
1333 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1334 # -- Loading package standard
1335 # -- Loading package std_logic_1164
1336 # -- Loading package numeric_std
1337 # -- Loading package common_pkg
1338 # -- Loading package extension_pkg
1339 # -- Loading package alu_pkg
1340 # -- Compiling architecture add_op of exec_op
1341 # -- Loading entity exec_op
1342 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1343 # -- Loading package standard
1344 # -- Loading package std_logic_1164
1345 # -- Loading package numeric_std
1346 # -- Loading package common_pkg
1347 # -- Loading package extension_pkg
1348 # -- Loading package alu_pkg
1349 # -- Compiling architecture and_op of exec_op
1350 # -- Loading entity exec_op
1351 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1352 # -- Loading package standard
1353 # -- Loading package std_logic_1164
1354 # -- Loading package numeric_std
1355 # -- Loading package common_pkg
1356 # -- Loading package extension_pkg
1357 # -- Loading package alu_pkg
1358 # -- Compiling architecture or_op of exec_op
1359 # -- Loading entity exec_op
1360 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1361 # -- Loading package standard
1362 # -- Loading package std_logic_1164
1363 # -- Loading package numeric_std
1364 # -- Loading package common_pkg
1365 # -- Loading package extension_pkg
1366 # -- Loading package alu_pkg
1367 # -- Compiling architecture xor_op of exec_op
1368 # -- Loading entity exec_op
1369 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1370 # -- Loading package standard
1371 # -- Loading package std_logic_1164
1372 # -- Loading package numeric_std
1373 # -- Loading package common_pkg
1374 # -- Loading package extension_pkg
1375 # -- Loading package alu_pkg
1376 # -- Compiling architecture shift_op of exec_op
1377 # -- Loading entity exec_op
1378 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1379 # -- Loading package standard
1380 # -- Loading package std_logic_1164
1381 # -- Loading package numeric_std
1382 # -- Loading package common_pkg
1383 # -- Loading package extension_pkg
1384 # -- Loading package alu_pkg
1385 # -- Compiling entity alu
1386 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1387 # -- Loading package standard
1388 # -- Loading package std_logic_1164
1389 # -- Loading package numeric_std
1390 # -- Loading package common_pkg
1391 # -- Loading package extension_pkg
1392 # -- Loading package alu_pkg
1393 # -- Compiling architecture behaviour of alu
1394 # -- Loading entity alu
1395 # -- Loading entity exec_op
1396 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1397 # -- Loading package standard
1398 # -- Loading package std_logic_1164
1399 # -- Loading package numeric_std
1400 # -- Loading package common_pkg
1401 # -- Compiling package extension_pkg
1402 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1403 # -- Loading package standard
1404 # -- Loading package std_logic_1164
1405 # -- Loading package numeric_std
1406 # -- Loading package common_pkg
1407 # -- Loading package extension_pkg
1408 # -- Compiling entity extension_gpm
1409 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1410 # -- Loading package standard
1411 # -- Loading package std_logic_1164
1412 # -- Loading package numeric_std
1413 # -- Loading package common_pkg
1414 # -- Loading package extension_pkg
1415 # -- Loading package core_pkg
1416 # -- Loading package mem_pkg
1417 # -- Compiling architecture behav of extension_gpm
1418 # -- Loading entity extension_gpm
1419 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1420 # -- Loading package standard
1421 # -- Loading package std_logic_1164
1422 # -- Loading package numeric_std
1423 # -- Loading package common_pkg
1424 # -- Loading package extension_pkg
1425 # -- Loading package alu_pkg
1426 # -- Compiling entity execute_stage
1427 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1428 # -- Loading package standard
1429 # -- Loading package std_logic_1164
1430 # -- Loading package numeric_std
1431 # -- Loading package common_pkg
1432 # -- Loading package extension_pkg
1433 # -- Loading package alu_pkg
1434 # -- Compiling architecture behav of execute_stage
1435 # -- Loading entity execute_stage
1436 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1437 # -- Loading package standard
1438 # -- Loading package std_logic_1164
1439 # -- Loading package numeric_std
1440 # -- Loading package common_pkg
1441 # -- Compiling entity writeback_stage
1442 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1443 # -- Loading package standard
1444 # -- Loading package std_logic_1164
1445 # -- Loading package numeric_std
1446 # -- Loading package common_pkg
1447 # -- Loading package extension_pkg
1448 # -- Loading package core_pkg
1449 # -- Loading package mem_pkg
1450 # -- Loading package extension_uart_pkg
1451 # -- Loading package extension_7seg_pkg
1452 # -- Compiling architecture behav of writeback_stage
1453 # -- Loading entity writeback_stage
1454 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
1455 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
1456 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
1457 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
1458 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1459 # -- Loading package standard
1460 # -- Loading package std_logic_1164
1461 # -- Loading package numeric_std
1462 # -- Loading package common_pkg
1463 # -- Loading package extension_pkg
1464 # -- Loading package core_pkg
1465 # -- Compiling entity pipeline_tb
1466 # -- Compiling architecture behavior of pipeline_tb
1467 # -- Compiling configuration pipeline_conf_beh
1468 # -- Loading entity pipeline_tb
1469 # -- Loading architecture behavior of pipeline_tb
1470 # -- Loading entity fetch_stage
1471 # -- Loading entity decode_stage
1472 # -- Loading package alu_pkg
1473 # -- Loading entity execute_stage
1474 # -- Loading entity writeback_stage
1475 # vsim -t ns work.pipeline_conf_beh 
1476 # Loading std.standard
1477 # Loading ieee.std_logic_1164(body)
1478 # Loading ieee.numeric_std(body)
1479 # Loading work.common_pkg(body)
1480 # Loading work.extension_pkg
1481 # Loading work.core_pkg
1482 # Loading work.alu_pkg(body)
1483 # Loading work.pipeline_conf_beh
1484 # Loading work.pipeline_tb(behavior)
1485 # Loading work.mem_pkg
1486 # Loading work.fetch_stage(behav)
1487 # Loading work.rom(behaviour)
1488 # Loading work.decode_stage(behav)
1489 # Loading work.r2_w_ram(behaviour)
1490 # Loading work.decoder(behav_d)
1491 # Loading work.execute_stage(behav)
1492 # Loading work.alu(behaviour)
1493 # Loading work.exec_op(add_op)
1494 # Loading work.exec_op(and_op)
1495 # Loading work.exec_op(or_op)
1496 # Loading work.exec_op(xor_op)
1497 # Loading work.exec_op(shift_op)
1498 # Loading work.extension_gpm(behav)
1499 # Loading work.extension_uart_pkg
1500 # Loading work.extension_7seg_pkg(body)
1501 # Loading work.writeback_stage(behav)
1502 # Loading work.r_w_ram(behaviour)
1503 # Loading work.extension_uart(behav)
1504 # Loading ieee.std_logic_arith(body)
1505 # Loading ieee.std_logic_unsigned(body)
1506 # Loading work.rs232_tx(beh)
1507 # Loading work.rs232_rx(beh)
1508 # Loading work.extension_7seg(behav)
1509 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1510 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
1511 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1512 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1513 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1514 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1515 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1516 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
1517 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1518 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1519 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1520 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1521 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1522 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1523 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1524 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1525 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1526 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1527 do testcore.do
1528 # ** Warning: (vlib-34) Library already exists at "work".
1529 # Modifying modelsim.ini
1530 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1531 # -- Loading package standard
1532 # -- Loading package std_logic_1164
1533 # -- Loading package numeric_std
1534 # -- Compiling package mem_pkg
1535 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1536 # -- Loading package standard
1537 # -- Loading package std_logic_1164
1538 # -- Loading package numeric_std
1539 # -- Compiling entity r_w_ram
1540 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1541 # -- Loading package standard
1542 # -- Loading package std_logic_1164
1543 # -- Loading package numeric_std
1544 # -- Loading package mem_pkg
1545 # -- Compiling architecture behaviour of r_w_ram
1546 # -- Loading entity r_w_ram
1547 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1548 # -- Loading package standard
1549 # -- Loading package std_logic_1164
1550 # -- Loading package numeric_std
1551 # -- Loading package mem_pkg
1552 # -- Compiling entity r2_w_ram
1553 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1554 # -- Loading package standard
1555 # -- Loading package std_logic_1164
1556 # -- Loading package numeric_std
1557 # -- Loading package mem_pkg
1558 # -- Compiling architecture behaviour of r2_w_ram
1559 # -- Loading entity r2_w_ram
1560 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1561 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1562 # -- Loading package standard
1563 # -- Loading package std_logic_1164
1564 # -- Loading package numeric_std
1565 # -- Compiling entity rom
1566 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1567 # -- Loading package standard
1568 # -- Loading package std_logic_1164
1569 # -- Loading package numeric_std
1570 # -- Loading package mem_pkg
1571 # -- Compiling architecture behaviour of rom
1572 # -- Loading entity rom
1573 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1574 # -- Loading package standard
1575 # -- Loading package std_logic_1164
1576 # -- Loading package numeric_std
1577 # -- Compiling package common_pkg
1578 # -- Compiling package body common_pkg
1579 # -- Loading package common_pkg
1580 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1581 # -- Loading package standard
1582 # -- Loading package std_logic_1164
1583 # -- Loading package numeric_std
1584 # -- Loading package common_pkg
1585 # -- Compiling package extension_pkg
1586 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1587 # -- Loading package standard
1588 # -- Loading package std_logic_1164
1589 # -- Loading package numeric_std
1590 # -- Loading package common_pkg
1591 # -- Loading package extension_pkg
1592 # -- Compiling package core_pkg
1593 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1594 # -- Loading package standard
1595 # -- Loading package std_logic_1164
1596 # -- Loading package numeric_std
1597 # -- Loading package common_pkg
1598 # -- Loading package extension_pkg
1599 # -- Compiling package extension_uart_pkg
1600 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1601 # -- Loading package standard
1602 # -- Loading package std_logic_1164
1603 # -- Loading package numeric_std
1604 # -- Loading package common_pkg
1605 # -- Loading package extension_pkg
1606 # -- Loading package extension_uart_pkg
1607 # -- Compiling entity extension_uart
1608 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1609 # -- Loading package standard
1610 # -- Loading package std_logic_1164
1611 # -- Loading package numeric_std
1612 # -- Loading package common_pkg
1613 # -- Loading package extension_pkg
1614 # -- Loading package core_pkg
1615 # -- Loading package mem_pkg
1616 # -- Loading package extension_uart_pkg
1617 # -- Compiling architecture behav of extension_uart
1618 # -- Loading entity extension_uart
1619 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1620 # -- Loading package standard
1621 # -- Loading package std_logic_1164
1622 # -- Loading package numeric_std
1623 # -- Loading package common_pkg
1624 # -- Loading package extension_pkg
1625 # -- Compiling package extension_7seg_pkg
1626 # -- Compiling package body extension_7seg_pkg
1627 # -- Loading package extension_7seg_pkg
1628 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1629 # -- Loading package standard
1630 # -- Loading package std_logic_1164
1631 # -- Loading package numeric_std
1632 # -- Loading package common_pkg
1633 # -- Loading package extension_pkg
1634 # -- Loading package extension_7seg_pkg
1635 # -- Compiling entity extension_7seg
1636 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1637 # -- Loading package standard
1638 # -- Loading package std_logic_1164
1639 # -- Loading package numeric_std
1640 # -- Loading package common_pkg
1641 # -- Loading package extension_pkg
1642 # -- Loading package core_pkg
1643 # -- Loading package mem_pkg
1644 # -- Loading package extension_7seg_pkg
1645 # -- Compiling architecture behav of extension_7seg
1646 # -- Loading entity extension_7seg
1647 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1648 # -- Loading package standard
1649 # -- Loading package std_logic_1164
1650 # -- Loading package std_logic_arith
1651 # -- Loading package std_logic_unsigned
1652 # -- Loading package numeric_std
1653 # -- Loading package common_pkg
1654 # -- Loading package extension_pkg
1655 # -- Loading package core_pkg
1656 # -- Loading package extension_uart_pkg
1657 # -- Compiling entity rs232_tx
1658 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1659 # -- Loading package standard
1660 # -- Loading package std_logic_1164
1661 # -- Loading package numeric_std
1662 # -- Loading package common_pkg
1663 # -- Loading package extension_pkg
1664 # -- Loading package core_pkg
1665 # -- Loading package extension_uart_pkg
1666 # -- Compiling architecture beh of rs232_tx
1667 # -- Loading package std_logic_arith
1668 # -- Loading package std_logic_unsigned
1669 # -- Loading entity rs232_tx
1670 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1671 # -- Loading package standard
1672 # -- Loading package std_logic_1164
1673 # -- Loading package std_logic_arith
1674 # -- Loading package std_logic_unsigned
1675 # -- Loading package numeric_std
1676 # -- Loading package common_pkg
1677 # -- Loading package extension_pkg
1678 # -- Loading package core_pkg
1679 # -- Loading package extension_uart_pkg
1680 # -- Compiling entity rs232_rx
1681 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1682 # -- Loading package standard
1683 # -- Loading package std_logic_1164
1684 # -- Loading package numeric_std
1685 # -- Loading package common_pkg
1686 # -- Loading package extension_pkg
1687 # -- Loading package extension_uart_pkg
1688 # -- Loading package core_pkg
1689 # -- Compiling architecture beh of rs232_rx
1690 # -- Loading package std_logic_arith
1691 # -- Loading package std_logic_unsigned
1692 # -- Loading entity rs232_rx
1693 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1694 # -- Loading package standard
1695 # -- Loading package std_logic_1164
1696 # -- Loading package numeric_std
1697 # -- Loading package common_pkg
1698 # -- Loading package extension_pkg
1699 # -- Loading package core_pkg
1700 # -- Compiling entity decoder
1701 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1702 # -- Loading package standard
1703 # -- Loading package std_logic_1164
1704 # -- Loading package numeric_std
1705 # -- Loading package mem_pkg
1706 # -- Loading package common_pkg
1707 # -- Loading package extension_pkg
1708 # -- Loading package core_pkg
1709 # -- Compiling architecture behav_d of decoder
1710 # -- Loading entity decoder
1711 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1712 # -- Loading package standard
1713 # -- Loading package std_logic_1164
1714 # -- Loading package numeric_std
1715 # -- Loading package common_pkg
1716 # -- Loading package extension_pkg
1717 # -- Loading package core_pkg
1718 # -- Compiling entity fetch_stage
1719 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1720 # -- Loading package standard
1721 # -- Loading package std_logic_1164
1722 # -- Loading package numeric_std
1723 # -- Loading package common_pkg
1724 # -- Loading package extension_pkg
1725 # -- Loading package core_pkg
1726 # -- Loading package mem_pkg
1727 # -- Compiling architecture behav of fetch_stage
1728 # -- Loading entity fetch_stage
1729 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1730 # -- Loading package standard
1731 # -- Loading package std_logic_1164
1732 # -- Loading package numeric_std
1733 # -- Loading package common_pkg
1734 # -- Loading package extension_pkg
1735 # -- Loading package core_pkg
1736 # -- Compiling entity decode_stage
1737 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1738 # -- Loading package standard
1739 # -- Loading package std_logic_1164
1740 # -- Loading package numeric_std
1741 # -- Loading package mem_pkg
1742 # -- Loading package common_pkg
1743 # -- Loading package extension_pkg
1744 # -- Loading package core_pkg
1745 # -- Compiling architecture behav of decode_stage
1746 # -- Loading entity decode_stage
1747 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1748 # -- Loading package standard
1749 # -- Loading package std_logic_1164
1750 # -- Loading package numeric_std
1751 # -- Loading package common_pkg
1752 # -- Loading package extension_pkg
1753 # -- Compiling package alu_pkg
1754 # -- Compiling package body alu_pkg
1755 # -- Loading package alu_pkg
1756 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1757 # -- Loading package standard
1758 # -- Loading package std_logic_1164
1759 # -- Loading package numeric_std
1760 # -- Loading package common_pkg
1761 # -- Compiling package extension_pkg
1762 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1763 # -- Loading package standard
1764 # -- Loading package std_logic_1164
1765 # -- Loading package numeric_std
1766 # -- Loading package common_pkg
1767 # -- Loading package extension_pkg
1768 # -- Loading package alu_pkg
1769 # -- Compiling entity exec_op
1770 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1771 # -- Loading package standard
1772 # -- Loading package std_logic_1164
1773 # -- Loading package numeric_std
1774 # -- Loading package common_pkg
1775 # -- Loading package extension_pkg
1776 # -- Loading package alu_pkg
1777 # -- Compiling architecture add_op of exec_op
1778 # -- Loading entity exec_op
1779 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1780 # -- Loading package standard
1781 # -- Loading package std_logic_1164
1782 # -- Loading package numeric_std
1783 # -- Loading package common_pkg
1784 # -- Loading package extension_pkg
1785 # -- Loading package alu_pkg
1786 # -- Compiling architecture and_op of exec_op
1787 # -- Loading entity exec_op
1788 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1789 # -- Loading package standard
1790 # -- Loading package std_logic_1164
1791 # -- Loading package numeric_std
1792 # -- Loading package common_pkg
1793 # -- Loading package extension_pkg
1794 # -- Loading package alu_pkg
1795 # -- Compiling architecture or_op of exec_op
1796 # -- Loading entity exec_op
1797 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1798 # -- Loading package standard
1799 # -- Loading package std_logic_1164
1800 # -- Loading package numeric_std
1801 # -- Loading package common_pkg
1802 # -- Loading package extension_pkg
1803 # -- Loading package alu_pkg
1804 # -- Compiling architecture xor_op of exec_op
1805 # -- Loading entity exec_op
1806 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1807 # -- Loading package standard
1808 # -- Loading package std_logic_1164
1809 # -- Loading package numeric_std
1810 # -- Loading package common_pkg
1811 # -- Loading package extension_pkg
1812 # -- Loading package alu_pkg
1813 # -- Compiling architecture shift_op of exec_op
1814 # -- Loading entity exec_op
1815 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1816 # -- Loading package standard
1817 # -- Loading package std_logic_1164
1818 # -- Loading package numeric_std
1819 # -- Loading package common_pkg
1820 # -- Loading package extension_pkg
1821 # -- Loading package alu_pkg
1822 # -- Compiling entity alu
1823 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1824 # -- Loading package standard
1825 # -- Loading package std_logic_1164
1826 # -- Loading package numeric_std
1827 # -- Loading package common_pkg
1828 # -- Loading package extension_pkg
1829 # -- Loading package alu_pkg
1830 # -- Compiling architecture behaviour of alu
1831 # -- Loading entity alu
1832 # -- Loading entity exec_op
1833 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1834 # -- Loading package standard
1835 # -- Loading package std_logic_1164
1836 # -- Loading package numeric_std
1837 # -- Loading package common_pkg
1838 # -- Compiling package extension_pkg
1839 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1840 # -- Loading package standard
1841 # -- Loading package std_logic_1164
1842 # -- Loading package numeric_std
1843 # -- Loading package common_pkg
1844 # -- Loading package extension_pkg
1845 # -- Compiling entity extension_gpm
1846 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1847 # -- Loading package standard
1848 # -- Loading package std_logic_1164
1849 # -- Loading package numeric_std
1850 # -- Loading package common_pkg
1851 # -- Loading package extension_pkg
1852 # -- Loading package core_pkg
1853 # -- Loading package mem_pkg
1854 # -- Compiling architecture behav of extension_gpm
1855 # -- Loading entity extension_gpm
1856 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1857 # -- Loading package standard
1858 # -- Loading package std_logic_1164
1859 # -- Loading package numeric_std
1860 # -- Loading package common_pkg
1861 # -- Loading package extension_pkg
1862 # -- Loading package alu_pkg
1863 # -- Compiling entity execute_stage
1864 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1865 # -- Loading package standard
1866 # -- Loading package std_logic_1164
1867 # -- Loading package numeric_std
1868 # -- Loading package common_pkg
1869 # -- Loading package extension_pkg
1870 # -- Loading package alu_pkg
1871 # -- Compiling architecture behav of execute_stage
1872 # -- Loading entity execute_stage
1873 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1874 # -- Loading package standard
1875 # -- Loading package std_logic_1164
1876 # -- Loading package numeric_std
1877 # -- Loading package common_pkg
1878 # -- Compiling entity writeback_stage
1879 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1880 # -- Loading package standard
1881 # -- Loading package std_logic_1164
1882 # -- Loading package numeric_std
1883 # -- Loading package common_pkg
1884 # -- Loading package extension_pkg
1885 # -- Loading package core_pkg
1886 # -- Loading package mem_pkg
1887 # -- Loading package extension_uart_pkg
1888 # -- Loading package extension_7seg_pkg
1889 # -- Compiling architecture behav of writeback_stage
1890 # -- Loading entity writeback_stage
1891 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
1892 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
1893 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
1894 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
1895 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1896 # -- Loading package standard
1897 # -- Loading package std_logic_1164
1898 # -- Loading package numeric_std
1899 # -- Loading package common_pkg
1900 # -- Loading package extension_pkg
1901 # -- Loading package core_pkg
1902 # -- Compiling entity pipeline_tb
1903 # -- Compiling architecture behavior of pipeline_tb
1904 # -- Compiling configuration pipeline_conf_beh
1905 # -- Loading entity pipeline_tb
1906 # -- Loading architecture behavior of pipeline_tb
1907 # -- Loading entity fetch_stage
1908 # -- Loading entity decode_stage
1909 # -- Loading package alu_pkg
1910 # -- Loading entity execute_stage
1911 # -- Loading entity writeback_stage
1912 # vsim -t ns work.pipeline_conf_beh 
1913 # Loading std.standard
1914 # Loading ieee.std_logic_1164(body)
1915 # Loading ieee.numeric_std(body)
1916 # Loading work.common_pkg(body)
1917 # Loading work.extension_pkg
1918 # Loading work.core_pkg
1919 # Loading work.alu_pkg(body)
1920 # Loading work.pipeline_conf_beh
1921 # Loading work.pipeline_tb(behavior)
1922 # Loading work.mem_pkg
1923 # Loading work.fetch_stage(behav)
1924 # Loading work.rom(behaviour)
1925 # Loading work.decode_stage(behav)
1926 # Loading work.r2_w_ram(behaviour)
1927 # Loading work.decoder(behav_d)
1928 # Loading work.execute_stage(behav)
1929 # Loading work.alu(behaviour)
1930 # Loading work.exec_op(add_op)
1931 # Loading work.exec_op(and_op)
1932 # Loading work.exec_op(or_op)
1933 # Loading work.exec_op(xor_op)
1934 # Loading work.exec_op(shift_op)
1935 # Loading work.extension_gpm(behav)
1936 # Loading work.extension_uart_pkg
1937 # Loading work.extension_7seg_pkg(body)
1938 # Loading work.writeback_stage(behav)
1939 # Loading work.r_w_ram(behaviour)
1940 # Loading work.extension_uart(behav)
1941 # Loading ieee.std_logic_arith(body)
1942 # Loading ieee.std_logic_unsigned(body)
1943 # Loading work.rs232_tx(beh)
1944 # Loading work.rs232_rx(beh)
1945 # Loading work.extension_7seg(behav)
1946 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1947 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
1948 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1949 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
1950 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1951 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1952 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1953 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
1954 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1955 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1956 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1957 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1958 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1959 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1960 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1961 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
1962 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
1963 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
1964 do testcore.do
1965 # ** Warning: (vlib-34) Library already exists at "work".
1966 # Modifying modelsim.ini
1967 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1968 # -- Loading package standard
1969 # -- Loading package std_logic_1164
1970 # -- Loading package numeric_std
1971 # -- Compiling package mem_pkg
1972 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1973 # -- Loading package standard
1974 # -- Loading package std_logic_1164
1975 # -- Loading package numeric_std
1976 # -- Compiling entity r_w_ram
1977 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1978 # -- Loading package standard
1979 # -- Loading package std_logic_1164
1980 # -- Loading package numeric_std
1981 # -- Loading package mem_pkg
1982 # -- Compiling architecture behaviour of r_w_ram
1983 # -- Loading entity r_w_ram
1984 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1985 # -- Loading package standard
1986 # -- Loading package std_logic_1164
1987 # -- Loading package numeric_std
1988 # -- Loading package mem_pkg
1989 # -- Compiling entity r2_w_ram
1990 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1991 # -- Loading package standard
1992 # -- Loading package std_logic_1164
1993 # -- Loading package numeric_std
1994 # -- Loading package mem_pkg
1995 # -- Compiling architecture behaviour of r2_w_ram
1996 # -- Loading entity r2_w_ram
1997 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1998 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1999 # -- Loading package standard
2000 # -- Loading package std_logic_1164
2001 # -- Loading package numeric_std
2002 # -- Compiling entity rom
2003 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2004 # -- Loading package standard
2005 # -- Loading package std_logic_1164
2006 # -- Loading package numeric_std
2007 # -- Loading package mem_pkg
2008 # -- Compiling architecture behaviour of rom
2009 # -- Loading entity rom
2010 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2011 # -- Loading package standard
2012 # -- Loading package std_logic_1164
2013 # -- Loading package numeric_std
2014 # -- Compiling package common_pkg
2015 # -- Compiling package body common_pkg
2016 # -- Loading package common_pkg
2017 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2018 # -- Loading package standard
2019 # -- Loading package std_logic_1164
2020 # -- Loading package numeric_std
2021 # -- Loading package common_pkg
2022 # -- Compiling package extension_pkg
2023 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2024 # -- Loading package standard
2025 # -- Loading package std_logic_1164
2026 # -- Loading package numeric_std
2027 # -- Loading package common_pkg
2028 # -- Loading package extension_pkg
2029 # -- Compiling package core_pkg
2030 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2031 # -- Loading package standard
2032 # -- Loading package std_logic_1164
2033 # -- Loading package numeric_std
2034 # -- Loading package common_pkg
2035 # -- Loading package extension_pkg
2036 # -- Compiling package extension_uart_pkg
2037 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2038 # -- Loading package standard
2039 # -- Loading package std_logic_1164
2040 # -- Loading package numeric_std
2041 # -- Loading package common_pkg
2042 # -- Loading package extension_pkg
2043 # -- Loading package extension_uart_pkg
2044 # -- Compiling entity extension_uart
2045 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2046 # -- Loading package standard
2047 # -- Loading package std_logic_1164
2048 # -- Loading package numeric_std
2049 # -- Loading package common_pkg
2050 # -- Loading package extension_pkg
2051 # -- Loading package core_pkg
2052 # -- Loading package mem_pkg
2053 # -- Loading package extension_uart_pkg
2054 # -- Compiling architecture behav of extension_uart
2055 # -- Loading entity extension_uart
2056 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2057 # -- Loading package standard
2058 # -- Loading package std_logic_1164
2059 # -- Loading package numeric_std
2060 # -- Loading package common_pkg
2061 # -- Loading package extension_pkg
2062 # -- Compiling package extension_7seg_pkg
2063 # -- Compiling package body extension_7seg_pkg
2064 # -- Loading package extension_7seg_pkg
2065 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2066 # -- Loading package standard
2067 # -- Loading package std_logic_1164
2068 # -- Loading package numeric_std
2069 # -- Loading package common_pkg
2070 # -- Loading package extension_pkg
2071 # -- Loading package extension_7seg_pkg
2072 # -- Compiling entity extension_7seg
2073 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2074 # -- Loading package standard
2075 # -- Loading package std_logic_1164
2076 # -- Loading package numeric_std
2077 # -- Loading package common_pkg
2078 # -- Loading package extension_pkg
2079 # -- Loading package core_pkg
2080 # -- Loading package mem_pkg
2081 # -- Loading package extension_7seg_pkg
2082 # -- Compiling architecture behav of extension_7seg
2083 # -- Loading entity extension_7seg
2084 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2085 # -- Loading package standard
2086 # -- Loading package std_logic_1164
2087 # -- Loading package std_logic_arith
2088 # -- Loading package std_logic_unsigned
2089 # -- Loading package numeric_std
2090 # -- Loading package common_pkg
2091 # -- Loading package extension_pkg
2092 # -- Loading package core_pkg
2093 # -- Loading package extension_uart_pkg
2094 # -- Compiling entity rs232_tx
2095 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2096 # -- Loading package standard
2097 # -- Loading package std_logic_1164
2098 # -- Loading package numeric_std
2099 # -- Loading package common_pkg
2100 # -- Loading package extension_pkg
2101 # -- Loading package core_pkg
2102 # -- Loading package extension_uart_pkg
2103 # -- Compiling architecture beh of rs232_tx
2104 # -- Loading package std_logic_arith
2105 # -- Loading package std_logic_unsigned
2106 # -- Loading entity rs232_tx
2107 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2108 # -- Loading package standard
2109 # -- Loading package std_logic_1164
2110 # -- Loading package std_logic_arith
2111 # -- Loading package std_logic_unsigned
2112 # -- Loading package numeric_std
2113 # -- Loading package common_pkg
2114 # -- Loading package extension_pkg
2115 # -- Loading package core_pkg
2116 # -- Loading package extension_uart_pkg
2117 # -- Compiling entity rs232_rx
2118 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2119 # -- Loading package standard
2120 # -- Loading package std_logic_1164
2121 # -- Loading package numeric_std
2122 # -- Loading package common_pkg
2123 # -- Loading package extension_pkg
2124 # -- Loading package extension_uart_pkg
2125 # -- Loading package core_pkg
2126 # -- Compiling architecture beh of rs232_rx
2127 # -- Loading package std_logic_arith
2128 # -- Loading package std_logic_unsigned
2129 # -- Loading entity rs232_rx
2130 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2131 # -- Loading package standard
2132 # -- Loading package std_logic_1164
2133 # -- Loading package numeric_std
2134 # -- Loading package common_pkg
2135 # -- Loading package extension_pkg
2136 # -- Loading package core_pkg
2137 # -- Compiling entity decoder
2138 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2139 # -- Loading package standard
2140 # -- Loading package std_logic_1164
2141 # -- Loading package numeric_std
2142 # -- Loading package mem_pkg
2143 # -- Loading package common_pkg
2144 # -- Loading package extension_pkg
2145 # -- Loading package core_pkg
2146 # -- Compiling architecture behav_d of decoder
2147 # -- Loading entity decoder
2148 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2149 # -- Loading package standard
2150 # -- Loading package std_logic_1164
2151 # -- Loading package numeric_std
2152 # -- Loading package common_pkg
2153 # -- Loading package extension_pkg
2154 # -- Loading package core_pkg
2155 # -- Compiling entity fetch_stage
2156 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2157 # -- Loading package standard
2158 # -- Loading package std_logic_1164
2159 # -- Loading package numeric_std
2160 # -- Loading package common_pkg
2161 # -- Loading package extension_pkg
2162 # -- Loading package core_pkg
2163 # -- Loading package mem_pkg
2164 # -- Compiling architecture behav of fetch_stage
2165 # -- Loading entity fetch_stage
2166 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2167 # -- Loading package standard
2168 # -- Loading package std_logic_1164
2169 # -- Loading package numeric_std
2170 # -- Loading package common_pkg
2171 # -- Loading package extension_pkg
2172 # -- Loading package core_pkg
2173 # -- Compiling entity decode_stage
2174 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2175 # -- Loading package standard
2176 # -- Loading package std_logic_1164
2177 # -- Loading package numeric_std
2178 # -- Loading package mem_pkg
2179 # -- Loading package common_pkg
2180 # -- Loading package extension_pkg
2181 # -- Loading package core_pkg
2182 # -- Compiling architecture behav of decode_stage
2183 # -- Loading entity decode_stage
2184 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2185 # -- Loading package standard
2186 # -- Loading package std_logic_1164
2187 # -- Loading package numeric_std
2188 # -- Loading package common_pkg
2189 # -- Loading package extension_pkg
2190 # -- Compiling package alu_pkg
2191 # -- Compiling package body alu_pkg
2192 # -- Loading package alu_pkg
2193 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2194 # -- Loading package standard
2195 # -- Loading package std_logic_1164
2196 # -- Loading package numeric_std
2197 # -- Loading package common_pkg
2198 # -- Compiling package extension_pkg
2199 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2200 # -- Loading package standard
2201 # -- Loading package std_logic_1164
2202 # -- Loading package numeric_std
2203 # -- Loading package common_pkg
2204 # -- Loading package extension_pkg
2205 # -- Loading package alu_pkg
2206 # -- Compiling entity exec_op
2207 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2208 # -- Loading package standard
2209 # -- Loading package std_logic_1164
2210 # -- Loading package numeric_std
2211 # -- Loading package common_pkg
2212 # -- Loading package extension_pkg
2213 # -- Loading package alu_pkg
2214 # -- Compiling architecture add_op of exec_op
2215 # -- Loading entity exec_op
2216 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2217 # -- Loading package standard
2218 # -- Loading package std_logic_1164
2219 # -- Loading package numeric_std
2220 # -- Loading package common_pkg
2221 # -- Loading package extension_pkg
2222 # -- Loading package alu_pkg
2223 # -- Compiling architecture and_op of exec_op
2224 # -- Loading entity exec_op
2225 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2226 # -- Loading package standard
2227 # -- Loading package std_logic_1164
2228 # -- Loading package numeric_std
2229 # -- Loading package common_pkg
2230 # -- Loading package extension_pkg
2231 # -- Loading package alu_pkg
2232 # -- Compiling architecture or_op of exec_op
2233 # -- Loading entity exec_op
2234 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2235 # -- Loading package standard
2236 # -- Loading package std_logic_1164
2237 # -- Loading package numeric_std
2238 # -- Loading package common_pkg
2239 # -- Loading package extension_pkg
2240 # -- Loading package alu_pkg
2241 # -- Compiling architecture xor_op of exec_op
2242 # -- Loading entity exec_op
2243 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2244 # -- Loading package standard
2245 # -- Loading package std_logic_1164
2246 # -- Loading package numeric_std
2247 # -- Loading package common_pkg
2248 # -- Loading package extension_pkg
2249 # -- Loading package alu_pkg
2250 # -- Compiling architecture shift_op of exec_op
2251 # -- Loading entity exec_op
2252 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2253 # -- Loading package standard
2254 # -- Loading package std_logic_1164
2255 # -- Loading package numeric_std
2256 # -- Loading package common_pkg
2257 # -- Loading package extension_pkg
2258 # -- Loading package alu_pkg
2259 # -- Compiling entity alu
2260 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2261 # -- Loading package standard
2262 # -- Loading package std_logic_1164
2263 # -- Loading package numeric_std
2264 # -- Loading package common_pkg
2265 # -- Loading package extension_pkg
2266 # -- Loading package alu_pkg
2267 # -- Compiling architecture behaviour of alu
2268 # -- Loading entity alu
2269 # -- Loading entity exec_op
2270 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2271 # -- Loading package standard
2272 # -- Loading package std_logic_1164
2273 # -- Loading package numeric_std
2274 # -- Loading package common_pkg
2275 # -- Compiling package extension_pkg
2276 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2277 # -- Loading package standard
2278 # -- Loading package std_logic_1164
2279 # -- Loading package numeric_std
2280 # -- Loading package common_pkg
2281 # -- Loading package extension_pkg
2282 # -- Compiling entity extension_gpm
2283 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2284 # -- Loading package standard
2285 # -- Loading package std_logic_1164
2286 # -- Loading package numeric_std
2287 # -- Loading package common_pkg
2288 # -- Loading package extension_pkg
2289 # -- Loading package core_pkg
2290 # -- Loading package mem_pkg
2291 # -- Compiling architecture behav of extension_gpm
2292 # -- Loading entity extension_gpm
2293 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2294 # -- Loading package standard
2295 # -- Loading package std_logic_1164
2296 # -- Loading package numeric_std
2297 # -- Loading package common_pkg
2298 # -- Loading package extension_pkg
2299 # -- Loading package alu_pkg
2300 # -- Compiling entity execute_stage
2301 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2302 # -- Loading package standard
2303 # -- Loading package std_logic_1164
2304 # -- Loading package numeric_std
2305 # -- Loading package common_pkg
2306 # -- Loading package extension_pkg
2307 # -- Loading package alu_pkg
2308 # -- Compiling architecture behav of execute_stage
2309 # -- Loading entity execute_stage
2310 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2311 # -- Loading package standard
2312 # -- Loading package std_logic_1164
2313 # -- Loading package numeric_std
2314 # -- Loading package common_pkg
2315 # -- Compiling entity writeback_stage
2316 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2317 # -- Loading package standard
2318 # -- Loading package std_logic_1164
2319 # -- Loading package numeric_std
2320 # -- Loading package common_pkg
2321 # -- Loading package extension_pkg
2322 # -- Loading package core_pkg
2323 # -- Loading package mem_pkg
2324 # -- Loading package extension_uart_pkg
2325 # -- Loading package extension_7seg_pkg
2326 # -- Compiling architecture behav of writeback_stage
2327 # -- Loading entity writeback_stage
2328 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
2329 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
2330 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
2331 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
2332 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2333 # -- Loading package standard
2334 # -- Loading package std_logic_1164
2335 # -- Loading package numeric_std
2336 # -- Loading package common_pkg
2337 # -- Loading package extension_pkg
2338 # -- Loading package core_pkg
2339 # -- Compiling entity pipeline_tb
2340 # -- Compiling architecture behavior of pipeline_tb
2341 # -- Compiling configuration pipeline_conf_beh
2342 # -- Loading entity pipeline_tb
2343 # -- Loading architecture behavior of pipeline_tb
2344 # -- Loading entity fetch_stage
2345 # -- Loading entity decode_stage
2346 # -- Loading package alu_pkg
2347 # -- Loading entity execute_stage
2348 # -- Loading entity writeback_stage
2349 # vsim -t ns work.pipeline_conf_beh 
2350 # Loading std.standard
2351 # Loading ieee.std_logic_1164(body)
2352 # Loading ieee.numeric_std(body)
2353 # Loading work.common_pkg(body)
2354 # Loading work.extension_pkg
2355 # Loading work.core_pkg
2356 # Loading work.alu_pkg(body)
2357 # Loading work.pipeline_conf_beh
2358 # Loading work.pipeline_tb(behavior)
2359 # Loading work.mem_pkg
2360 # Loading work.fetch_stage(behav)
2361 # Loading work.rom(behaviour)
2362 # Loading work.decode_stage(behav)
2363 # Loading work.r2_w_ram(behaviour)
2364 # Loading work.decoder(behav_d)
2365 # Loading work.execute_stage(behav)
2366 # Loading work.alu(behaviour)
2367 # Loading work.exec_op(add_op)
2368 # Loading work.exec_op(and_op)
2369 # Loading work.exec_op(or_op)
2370 # Loading work.exec_op(xor_op)
2371 # Loading work.exec_op(shift_op)
2372 # Loading work.extension_gpm(behav)
2373 # Loading work.extension_uart_pkg
2374 # Loading work.extension_7seg_pkg(body)
2375 # Loading work.writeback_stage(behav)
2376 # Loading work.r_w_ram(behaviour)
2377 # Loading work.extension_uart(behav)
2378 # Loading ieee.std_logic_arith(body)
2379 # Loading ieee.std_logic_unsigned(body)
2380 # Loading work.rs232_tx(beh)
2381 # Loading work.rs232_rx(beh)
2382 # Loading work.extension_7seg(behav)
2383 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2384 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
2385 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2386 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
2387 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2388 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2389 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2390 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
2391 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2392 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2393 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2394 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2395 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2396 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2397 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2398 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2399 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2400 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
2401 do testcore.do
2402 # ** Warning: (vlib-34) Library already exists at "work".
2403 # Modifying modelsim.ini
2404 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2405 # -- Loading package standard
2406 # -- Loading package std_logic_1164
2407 # -- Loading package numeric_std
2408 # -- Compiling package mem_pkg
2409 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2410 # -- Loading package standard
2411 # -- Loading package std_logic_1164
2412 # -- Loading package numeric_std
2413 # -- Compiling entity r_w_ram
2414 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2415 # -- Loading package standard
2416 # -- Loading package std_logic_1164
2417 # -- Loading package numeric_std
2418 # -- Loading package mem_pkg
2419 # -- Compiling architecture behaviour of r_w_ram
2420 # -- Loading entity r_w_ram
2421 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2422 # -- Loading package standard
2423 # -- Loading package std_logic_1164
2424 # -- Loading package numeric_std
2425 # -- Loading package mem_pkg
2426 # -- Compiling entity r2_w_ram
2427 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2428 # -- Loading package standard
2429 # -- Loading package std_logic_1164
2430 # -- Loading package numeric_std
2431 # -- Loading package mem_pkg
2432 # -- Compiling architecture behaviour of r2_w_ram
2433 # -- Loading entity r2_w_ram
2434 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2435 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2436 # -- Loading package standard
2437 # -- Loading package std_logic_1164
2438 # -- Loading package numeric_std
2439 # -- Compiling entity rom
2440 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2441 # -- Loading package standard
2442 # -- Loading package std_logic_1164
2443 # -- Loading package numeric_std
2444 # -- Loading package mem_pkg
2445 # -- Compiling architecture behaviour of rom
2446 # -- Loading entity rom
2447 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2448 # -- Loading package standard
2449 # -- Loading package std_logic_1164
2450 # -- Loading package numeric_std
2451 # -- Compiling package common_pkg
2452 # -- Compiling package body common_pkg
2453 # -- Loading package common_pkg
2454 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2455 # -- Loading package standard
2456 # -- Loading package std_logic_1164
2457 # -- Loading package numeric_std
2458 # -- Loading package common_pkg
2459 # -- Compiling package extension_pkg
2460 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2461 # -- Loading package standard
2462 # -- Loading package std_logic_1164
2463 # -- Loading package numeric_std
2464 # -- Loading package common_pkg
2465 # -- Loading package extension_pkg
2466 # -- Compiling package core_pkg
2467 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2468 # -- Loading package standard
2469 # -- Loading package std_logic_1164
2470 # -- Loading package numeric_std
2471 # -- Loading package common_pkg
2472 # -- Loading package extension_pkg
2473 # -- Compiling package extension_uart_pkg
2474 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2475 # -- Loading package standard
2476 # -- Loading package std_logic_1164
2477 # -- Loading package numeric_std
2478 # -- Loading package common_pkg
2479 # -- Loading package extension_pkg
2480 # -- Loading package extension_uart_pkg
2481 # -- Compiling entity extension_uart
2482 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2483 # -- Loading package standard
2484 # -- Loading package std_logic_1164
2485 # -- Loading package numeric_std
2486 # -- Loading package common_pkg
2487 # -- Loading package extension_pkg
2488 # -- Loading package core_pkg
2489 # -- Loading package mem_pkg
2490 # -- Loading package extension_uart_pkg
2491 # -- Compiling architecture behav of extension_uart
2492 # -- Loading entity extension_uart
2493 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2494 # -- Loading package standard
2495 # -- Loading package std_logic_1164
2496 # -- Loading package numeric_std
2497 # -- Loading package common_pkg
2498 # -- Loading package extension_pkg
2499 # -- Compiling package extension_7seg_pkg
2500 # -- Compiling package body extension_7seg_pkg
2501 # -- Loading package extension_7seg_pkg
2502 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2503 # -- Loading package standard
2504 # -- Loading package std_logic_1164
2505 # -- Loading package numeric_std
2506 # -- Loading package common_pkg
2507 # -- Loading package extension_pkg
2508 # -- Loading package extension_7seg_pkg
2509 # -- Compiling entity extension_7seg
2510 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2511 # -- Loading package standard
2512 # -- Loading package std_logic_1164
2513 # -- Loading package numeric_std
2514 # -- Loading package common_pkg
2515 # -- Loading package extension_pkg
2516 # -- Loading package core_pkg
2517 # -- Loading package mem_pkg
2518 # -- Loading package extension_7seg_pkg
2519 # -- Compiling architecture behav of extension_7seg
2520 # -- Loading entity extension_7seg
2521 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2522 # -- Loading package standard
2523 # -- Loading package std_logic_1164
2524 # -- Loading package std_logic_arith
2525 # -- Loading package std_logic_unsigned
2526 # -- Loading package numeric_std
2527 # -- Loading package common_pkg
2528 # -- Loading package extension_pkg
2529 # -- Loading package core_pkg
2530 # -- Loading package extension_uart_pkg
2531 # -- Compiling entity rs232_tx
2532 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2533 # -- Loading package standard
2534 # -- Loading package std_logic_1164
2535 # -- Loading package numeric_std
2536 # -- Loading package common_pkg
2537 # -- Loading package extension_pkg
2538 # -- Loading package core_pkg
2539 # -- Loading package extension_uart_pkg
2540 # -- Compiling architecture beh of rs232_tx
2541 # -- Loading package std_logic_arith
2542 # -- Loading package std_logic_unsigned
2543 # -- Loading entity rs232_tx
2544 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2545 # -- Loading package standard
2546 # -- Loading package std_logic_1164
2547 # -- Loading package std_logic_arith
2548 # -- Loading package std_logic_unsigned
2549 # -- Loading package numeric_std
2550 # -- Loading package common_pkg
2551 # -- Loading package extension_pkg
2552 # -- Loading package core_pkg
2553 # -- Loading package extension_uart_pkg
2554 # -- Compiling entity rs232_rx
2555 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2556 # -- Loading package standard
2557 # -- Loading package std_logic_1164
2558 # -- Loading package numeric_std
2559 # -- Loading package common_pkg
2560 # -- Loading package extension_pkg
2561 # -- Loading package extension_uart_pkg
2562 # -- Loading package core_pkg
2563 # -- Compiling architecture beh of rs232_rx
2564 # -- Loading package std_logic_arith
2565 # -- Loading package std_logic_unsigned
2566 # -- Loading entity rs232_rx
2567 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2568 # -- Loading package standard
2569 # -- Loading package std_logic_1164
2570 # -- Loading package numeric_std
2571 # -- Loading package common_pkg
2572 # -- Loading package extension_pkg
2573 # -- Loading package core_pkg
2574 # -- Compiling entity decoder
2575 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2576 # -- Loading package standard
2577 # -- Loading package std_logic_1164
2578 # -- Loading package numeric_std
2579 # -- Loading package mem_pkg
2580 # -- Loading package common_pkg
2581 # -- Loading package extension_pkg
2582 # -- Loading package core_pkg
2583 # -- Compiling architecture behav_d of decoder
2584 # -- Loading entity decoder
2585 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2586 # -- Loading package standard
2587 # -- Loading package std_logic_1164
2588 # -- Loading package numeric_std
2589 # -- Loading package common_pkg
2590 # -- Loading package extension_pkg
2591 # -- Loading package core_pkg
2592 # -- Compiling entity fetch_stage
2593 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2594 # -- Loading package standard
2595 # -- Loading package std_logic_1164
2596 # -- Loading package numeric_std
2597 # -- Loading package common_pkg
2598 # -- Loading package extension_pkg
2599 # -- Loading package core_pkg
2600 # -- Loading package mem_pkg
2601 # -- Compiling architecture behav of fetch_stage
2602 # -- Loading entity fetch_stage
2603 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2604 # -- Loading package standard
2605 # -- Loading package std_logic_1164
2606 # -- Loading package numeric_std
2607 # -- Loading package common_pkg
2608 # -- Loading package extension_pkg
2609 # -- Loading package core_pkg
2610 # -- Compiling entity decode_stage
2611 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2612 # -- Loading package standard
2613 # -- Loading package std_logic_1164
2614 # -- Loading package numeric_std
2615 # -- Loading package mem_pkg
2616 # -- Loading package common_pkg
2617 # -- Loading package extension_pkg
2618 # -- Loading package core_pkg
2619 # -- Compiling architecture behav of decode_stage
2620 # -- Loading entity decode_stage
2621 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2622 # -- Loading package standard
2623 # -- Loading package std_logic_1164
2624 # -- Loading package numeric_std
2625 # -- Loading package common_pkg
2626 # -- Loading package extension_pkg
2627 # -- Compiling package alu_pkg
2628 # -- Compiling package body alu_pkg
2629 # -- Loading package alu_pkg
2630 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2631 # -- Loading package standard
2632 # -- Loading package std_logic_1164
2633 # -- Loading package numeric_std
2634 # -- Loading package common_pkg
2635 # -- Compiling package extension_pkg
2636 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2637 # -- Loading package standard
2638 # -- Loading package std_logic_1164
2639 # -- Loading package numeric_std
2640 # -- Loading package common_pkg
2641 # -- Loading package extension_pkg
2642 # -- Loading package alu_pkg
2643 # -- Compiling entity exec_op
2644 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2645 # -- Loading package standard
2646 # -- Loading package std_logic_1164
2647 # -- Loading package numeric_std
2648 # -- Loading package common_pkg
2649 # -- Loading package extension_pkg
2650 # -- Loading package alu_pkg
2651 # -- Compiling architecture add_op of exec_op
2652 # -- Loading entity exec_op
2653 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2654 # -- Loading package standard
2655 # -- Loading package std_logic_1164
2656 # -- Loading package numeric_std
2657 # -- Loading package common_pkg
2658 # -- Loading package extension_pkg
2659 # -- Loading package alu_pkg
2660 # -- Compiling architecture and_op of exec_op
2661 # -- Loading entity exec_op
2662 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2663 # -- Loading package standard
2664 # -- Loading package std_logic_1164
2665 # -- Loading package numeric_std
2666 # -- Loading package common_pkg
2667 # -- Loading package extension_pkg
2668 # -- Loading package alu_pkg
2669 # -- Compiling architecture or_op of exec_op
2670 # -- Loading entity exec_op
2671 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2672 # -- Loading package standard
2673 # -- Loading package std_logic_1164
2674 # -- Loading package numeric_std
2675 # -- Loading package common_pkg
2676 # -- Loading package extension_pkg
2677 # -- Loading package alu_pkg
2678 # -- Compiling architecture xor_op of exec_op
2679 # -- Loading entity exec_op
2680 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2681 # -- Loading package standard
2682 # -- Loading package std_logic_1164
2683 # -- Loading package numeric_std
2684 # -- Loading package common_pkg
2685 # -- Loading package extension_pkg
2686 # -- Loading package alu_pkg
2687 # -- Compiling architecture shift_op of exec_op
2688 # -- Loading entity exec_op
2689 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2690 # -- Loading package standard
2691 # -- Loading package std_logic_1164
2692 # -- Loading package numeric_std
2693 # -- Loading package common_pkg
2694 # -- Loading package extension_pkg
2695 # -- Loading package alu_pkg
2696 # -- Compiling entity alu
2697 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2698 # -- Loading package standard
2699 # -- Loading package std_logic_1164
2700 # -- Loading package numeric_std
2701 # -- Loading package common_pkg
2702 # -- Loading package extension_pkg
2703 # -- Loading package alu_pkg
2704 # -- Compiling architecture behaviour of alu
2705 # -- Loading entity alu
2706 # -- Loading entity exec_op
2707 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2708 # -- Loading package standard
2709 # -- Loading package std_logic_1164
2710 # -- Loading package numeric_std
2711 # -- Loading package common_pkg
2712 # -- Compiling package extension_pkg
2713 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2714 # -- Loading package standard
2715 # -- Loading package std_logic_1164
2716 # -- Loading package numeric_std
2717 # -- Loading package common_pkg
2718 # -- Loading package extension_pkg
2719 # -- Compiling entity extension_gpm
2720 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2721 # -- Loading package standard
2722 # -- Loading package std_logic_1164
2723 # -- Loading package numeric_std
2724 # -- Loading package common_pkg
2725 # -- Loading package extension_pkg
2726 # -- Loading package core_pkg
2727 # -- Loading package mem_pkg
2728 # -- Compiling architecture behav of extension_gpm
2729 # -- Loading entity extension_gpm
2730 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2731 # -- Loading package standard
2732 # -- Loading package std_logic_1164
2733 # -- Loading package numeric_std
2734 # -- Loading package common_pkg
2735 # -- Loading package extension_pkg
2736 # -- Loading package alu_pkg
2737 # -- Compiling entity execute_stage
2738 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2739 # -- Loading package standard
2740 # -- Loading package std_logic_1164
2741 # -- Loading package numeric_std
2742 # -- Loading package common_pkg
2743 # -- Loading package extension_pkg
2744 # -- Loading package alu_pkg
2745 # -- Compiling architecture behav of execute_stage
2746 # -- Loading entity execute_stage
2747 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2748 # -- Loading package standard
2749 # -- Loading package std_logic_1164
2750 # -- Loading package numeric_std
2751 # -- Loading package common_pkg
2752 # -- Compiling entity writeback_stage
2753 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2754 # -- Loading package standard
2755 # -- Loading package std_logic_1164
2756 # -- Loading package numeric_std
2757 # -- Loading package common_pkg
2758 # -- Loading package extension_pkg
2759 # -- Loading package core_pkg
2760 # -- Loading package mem_pkg
2761 # -- Loading package extension_uart_pkg
2762 # -- Loading package extension_7seg_pkg
2763 # -- Compiling architecture behav of writeback_stage
2764 # -- Loading entity writeback_stage
2765 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
2766 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
2767 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
2768 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
2769 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2770 # -- Loading package standard
2771 # -- Loading package std_logic_1164
2772 # -- Loading package numeric_std
2773 # -- Loading package common_pkg
2774 # -- Loading package extension_pkg
2775 # -- Loading package core_pkg
2776 # -- Compiling entity pipeline_tb
2777 # -- Compiling architecture behavior of pipeline_tb
2778 # -- Compiling configuration pipeline_conf_beh
2779 # -- Loading entity pipeline_tb
2780 # -- Loading architecture behavior of pipeline_tb
2781 # -- Loading entity fetch_stage
2782 # -- Loading entity decode_stage
2783 # -- Loading package alu_pkg
2784 # -- Loading entity execute_stage
2785 # -- Loading entity writeback_stage
2786 # vsim -t ns work.pipeline_conf_beh 
2787 # Loading std.standard
2788 # Loading ieee.std_logic_1164(body)
2789 # Loading ieee.numeric_std(body)
2790 # Loading work.common_pkg(body)
2791 # Loading work.extension_pkg
2792 # Loading work.core_pkg
2793 # Loading work.alu_pkg(body)
2794 # Loading work.pipeline_conf_beh
2795 # Loading work.pipeline_tb(behavior)
2796 # Loading work.mem_pkg
2797 # Loading work.fetch_stage(behav)
2798 # Loading work.rom(behaviour)
2799 # Loading work.decode_stage(behav)
2800 # Loading work.r2_w_ram(behaviour)
2801 # Loading work.decoder(behav_d)
2802 # Loading work.execute_stage(behav)
2803 # Loading work.alu(behaviour)
2804 # Loading work.exec_op(add_op)
2805 # Loading work.exec_op(and_op)
2806 # Loading work.exec_op(or_op)
2807 # Loading work.exec_op(xor_op)
2808 # Loading work.exec_op(shift_op)
2809 # Loading work.extension_gpm(behav)
2810 # Loading work.extension_uart_pkg
2811 # Loading work.extension_7seg_pkg(body)
2812 # Loading work.writeback_stage(behav)
2813 # Loading work.r_w_ram(behaviour)
2814 # Loading work.extension_uart(behav)
2815 # Loading ieee.std_logic_arith(body)
2816 # Loading ieee.std_logic_unsigned(body)
2817 # Loading work.rs232_tx(beh)
2818 # Loading work.rs232_rx(beh)
2819 # Loading work.extension_7seg(behav)
2820 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2821 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
2822 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2823 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
2824 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2825 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2826 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2827 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
2828 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2829 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2830 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2831 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2832 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2833 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2834 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2835 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2836 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2837 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
2838 do testcore.do
2839 # ** Warning: (vlib-34) Library already exists at "work".
2840 # Modifying modelsim.ini
2841 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2842 # -- Loading package standard
2843 # -- Loading package std_logic_1164
2844 # -- Loading package numeric_std
2845 # -- Compiling package mem_pkg
2846 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2847 # -- Loading package standard
2848 # -- Loading package std_logic_1164
2849 # -- Loading package numeric_std
2850 # -- Compiling entity r_w_ram
2851 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2852 # -- Loading package standard
2853 # -- Loading package std_logic_1164
2854 # -- Loading package numeric_std
2855 # -- Loading package mem_pkg
2856 # -- Compiling architecture behaviour of r_w_ram
2857 # -- Loading entity r_w_ram
2858 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2859 # -- Loading package standard
2860 # -- Loading package std_logic_1164
2861 # -- Loading package numeric_std
2862 # -- Loading package mem_pkg
2863 # -- Compiling entity r2_w_ram
2864 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2865 # -- Loading package standard
2866 # -- Loading package std_logic_1164
2867 # -- Loading package numeric_std
2868 # -- Loading package mem_pkg
2869 # -- Compiling architecture behaviour of r2_w_ram
2870 # -- Loading entity r2_w_ram
2871 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2872 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2873 # -- Loading package standard
2874 # -- Loading package std_logic_1164
2875 # -- Loading package numeric_std
2876 # -- Compiling entity rom
2877 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2878 # -- Loading package standard
2879 # -- Loading package std_logic_1164
2880 # -- Loading package numeric_std
2881 # -- Loading package mem_pkg
2882 # -- Compiling architecture behaviour of rom
2883 # -- Loading entity rom
2884 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2885 # -- Loading package standard
2886 # -- Loading package std_logic_1164
2887 # -- Loading package numeric_std
2888 # -- Compiling package common_pkg
2889 # -- Compiling package body common_pkg
2890 # -- Loading package common_pkg
2891 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2892 # -- Loading package standard
2893 # -- Loading package std_logic_1164
2894 # -- Loading package numeric_std
2895 # -- Loading package common_pkg
2896 # -- Compiling package extension_pkg
2897 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2898 # -- Loading package standard
2899 # -- Loading package std_logic_1164
2900 # -- Loading package numeric_std
2901 # -- Loading package common_pkg
2902 # -- Loading package extension_pkg
2903 # -- Compiling package core_pkg
2904 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2905 # -- Loading package standard
2906 # -- Loading package std_logic_1164
2907 # -- Loading package numeric_std
2908 # -- Loading package common_pkg
2909 # -- Loading package extension_pkg
2910 # -- Compiling package extension_uart_pkg
2911 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2912 # -- Loading package standard
2913 # -- Loading package std_logic_1164
2914 # -- Loading package numeric_std
2915 # -- Loading package common_pkg
2916 # -- Loading package extension_pkg
2917 # -- Loading package extension_uart_pkg
2918 # -- Compiling entity extension_uart
2919 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2920 # -- Loading package standard
2921 # -- Loading package std_logic_1164
2922 # -- Loading package numeric_std
2923 # -- Loading package common_pkg
2924 # -- Loading package extension_pkg
2925 # -- Loading package core_pkg
2926 # -- Loading package mem_pkg
2927 # -- Loading package extension_uart_pkg
2928 # -- Compiling architecture behav of extension_uart
2929 # -- Loading entity extension_uart
2930 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2931 # -- Loading package standard
2932 # -- Loading package std_logic_1164
2933 # -- Loading package numeric_std
2934 # -- Loading package common_pkg
2935 # -- Loading package extension_pkg
2936 # -- Compiling package extension_7seg_pkg
2937 # -- Compiling package body extension_7seg_pkg
2938 # -- Loading package extension_7seg_pkg
2939 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2940 # -- Loading package standard
2941 # -- Loading package std_logic_1164
2942 # -- Loading package numeric_std
2943 # -- Loading package common_pkg
2944 # -- Loading package extension_pkg
2945 # -- Loading package extension_7seg_pkg
2946 # -- Compiling entity extension_7seg
2947 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2948 # -- Loading package standard
2949 # -- Loading package std_logic_1164
2950 # -- Loading package numeric_std
2951 # -- Loading package common_pkg
2952 # -- Loading package extension_pkg
2953 # -- Loading package core_pkg
2954 # -- Loading package mem_pkg
2955 # -- Loading package extension_7seg_pkg
2956 # -- Compiling architecture behav of extension_7seg
2957 # -- Loading entity extension_7seg
2958 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2959 # -- Loading package standard
2960 # -- Loading package std_logic_1164
2961 # -- Loading package std_logic_arith
2962 # -- Loading package std_logic_unsigned
2963 # -- Loading package numeric_std
2964 # -- Loading package common_pkg
2965 # -- Loading package extension_pkg
2966 # -- Loading package core_pkg
2967 # -- Loading package extension_uart_pkg
2968 # -- Compiling entity rs232_tx
2969 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2970 # -- Loading package standard
2971 # -- Loading package std_logic_1164
2972 # -- Loading package numeric_std
2973 # -- Loading package common_pkg
2974 # -- Loading package extension_pkg
2975 # -- Loading package core_pkg
2976 # -- Loading package extension_uart_pkg
2977 # -- Compiling architecture beh of rs232_tx
2978 # -- Loading package std_logic_arith
2979 # -- Loading package std_logic_unsigned
2980 # -- Loading entity rs232_tx
2981 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2982 # -- Loading package standard
2983 # -- Loading package std_logic_1164
2984 # -- Loading package std_logic_arith
2985 # -- Loading package std_logic_unsigned
2986 # -- Loading package numeric_std
2987 # -- Loading package common_pkg
2988 # -- Loading package extension_pkg
2989 # -- Loading package core_pkg
2990 # -- Loading package extension_uart_pkg
2991 # -- Compiling entity rs232_rx
2992 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2993 # -- Loading package standard
2994 # -- Loading package std_logic_1164
2995 # -- Loading package numeric_std
2996 # -- Loading package common_pkg
2997 # -- Loading package extension_pkg
2998 # -- Loading package extension_uart_pkg
2999 # -- Loading package core_pkg
3000 # -- Compiling architecture beh of rs232_rx
3001 # -- Loading package std_logic_arith
3002 # -- Loading package std_logic_unsigned
3003 # -- Loading entity rs232_rx
3004 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3005 # -- Loading package standard
3006 # -- Loading package std_logic_1164
3007 # -- Loading package numeric_std
3008 # -- Loading package common_pkg
3009 # -- Loading package extension_pkg
3010 # -- Loading package core_pkg
3011 # -- Compiling entity decoder
3012 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3013 # -- Loading package standard
3014 # -- Loading package std_logic_1164
3015 # -- Loading package numeric_std
3016 # -- Loading package mem_pkg
3017 # -- Loading package common_pkg
3018 # -- Loading package extension_pkg
3019 # -- Loading package core_pkg
3020 # -- Compiling architecture behav_d of decoder
3021 # -- Loading entity decoder
3022 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3023 # -- Loading package standard
3024 # -- Loading package std_logic_1164
3025 # -- Loading package numeric_std
3026 # -- Loading package common_pkg
3027 # -- Loading package extension_pkg
3028 # -- Loading package core_pkg
3029 # -- Compiling entity fetch_stage
3030 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3031 # -- Loading package standard
3032 # -- Loading package std_logic_1164
3033 # -- Loading package numeric_std
3034 # -- Loading package common_pkg
3035 # -- Loading package extension_pkg
3036 # -- Loading package core_pkg
3037 # -- Loading package mem_pkg
3038 # -- Compiling architecture behav of fetch_stage
3039 # -- Loading entity fetch_stage
3040 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3041 # -- Loading package standard
3042 # -- Loading package std_logic_1164
3043 # -- Loading package numeric_std
3044 # -- Loading package common_pkg
3045 # -- Loading package extension_pkg
3046 # -- Loading package core_pkg
3047 # -- Compiling entity decode_stage
3048 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3049 # -- Loading package standard
3050 # -- Loading package std_logic_1164
3051 # -- Loading package numeric_std
3052 # -- Loading package mem_pkg
3053 # -- Loading package common_pkg
3054 # -- Loading package extension_pkg
3055 # -- Loading package core_pkg
3056 # -- Compiling architecture behav of decode_stage
3057 # -- Loading entity decode_stage
3058 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3059 # -- Loading package standard
3060 # -- Loading package std_logic_1164
3061 # -- Loading package numeric_std
3062 # -- Loading package common_pkg
3063 # -- Loading package extension_pkg
3064 # -- Compiling package alu_pkg
3065 # -- Compiling package body alu_pkg
3066 # -- Loading package alu_pkg
3067 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3068 # -- Loading package standard
3069 # -- Loading package std_logic_1164
3070 # -- Loading package numeric_std
3071 # -- Loading package common_pkg
3072 # -- Compiling package extension_pkg
3073 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3074 # -- Loading package standard
3075 # -- Loading package std_logic_1164
3076 # -- Loading package numeric_std
3077 # -- Loading package common_pkg
3078 # -- Loading package extension_pkg
3079 # -- Loading package alu_pkg
3080 # -- Compiling entity exec_op
3081 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3082 # -- Loading package standard
3083 # -- Loading package std_logic_1164
3084 # -- Loading package numeric_std
3085 # -- Loading package common_pkg
3086 # -- Loading package extension_pkg
3087 # -- Loading package alu_pkg
3088 # -- Compiling architecture add_op of exec_op
3089 # -- Loading entity exec_op
3090 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3091 # -- Loading package standard
3092 # -- Loading package std_logic_1164
3093 # -- Loading package numeric_std
3094 # -- Loading package common_pkg
3095 # -- Loading package extension_pkg
3096 # -- Loading package alu_pkg
3097 # -- Compiling architecture and_op of exec_op
3098 # -- Loading entity exec_op
3099 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3100 # -- Loading package standard
3101 # -- Loading package std_logic_1164
3102 # -- Loading package numeric_std
3103 # -- Loading package common_pkg
3104 # -- Loading package extension_pkg
3105 # -- Loading package alu_pkg
3106 # -- Compiling architecture or_op of exec_op
3107 # -- Loading entity exec_op
3108 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3109 # -- Loading package standard
3110 # -- Loading package std_logic_1164
3111 # -- Loading package numeric_std
3112 # -- Loading package common_pkg
3113 # -- Loading package extension_pkg
3114 # -- Loading package alu_pkg
3115 # -- Compiling architecture xor_op of exec_op
3116 # -- Loading entity exec_op
3117 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3118 # -- Loading package standard
3119 # -- Loading package std_logic_1164
3120 # -- Loading package numeric_std
3121 # -- Loading package common_pkg
3122 # -- Loading package extension_pkg
3123 # -- Loading package alu_pkg
3124 # -- Compiling architecture shift_op of exec_op
3125 # -- Loading entity exec_op
3126 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3127 # -- Loading package standard
3128 # -- Loading package std_logic_1164
3129 # -- Loading package numeric_std
3130 # -- Loading package common_pkg
3131 # -- Loading package extension_pkg
3132 # -- Loading package alu_pkg
3133 # -- Compiling entity alu
3134 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3135 # -- Loading package standard
3136 # -- Loading package std_logic_1164
3137 # -- Loading package numeric_std
3138 # -- Loading package common_pkg
3139 # -- Loading package extension_pkg
3140 # -- Loading package alu_pkg
3141 # -- Compiling architecture behaviour of alu
3142 # -- Loading entity alu
3143 # -- Loading entity exec_op
3144 # ** Error: ../src/alu_b.vhd(178): No feasible entries for subprogram "to_stdlogicvector".
3145 # ** Error: ../src/alu_b.vhd(221): VHDL Compiler exiting
3146 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
3147 # Error in macro ./testcore.do line 43
3148 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
3149 #     while executing
3150 # "vcom -work work ../src/alu_b.vhd"
3151 do testcore.do
3152 # ** Warning: (vlib-34) Library already exists at "work".
3153 # Modifying modelsim.ini
3154 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3155 # -- Loading package standard
3156 # -- Loading package std_logic_1164
3157 # -- Loading package numeric_std
3158 # -- Compiling package mem_pkg
3159 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3160 # -- Loading package standard
3161 # -- Loading package std_logic_1164
3162 # -- Loading package numeric_std
3163 # -- Compiling entity r_w_ram
3164 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3165 # -- Loading package standard
3166 # -- Loading package std_logic_1164
3167 # -- Loading package numeric_std
3168 # -- Loading package mem_pkg
3169 # -- Compiling architecture behaviour of r_w_ram
3170 # -- Loading entity r_w_ram
3171 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3172 # -- Loading package standard
3173 # -- Loading package std_logic_1164
3174 # -- Loading package numeric_std
3175 # -- Loading package mem_pkg
3176 # -- Compiling entity r2_w_ram
3177 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3178 # -- Loading package standard
3179 # -- Loading package std_logic_1164
3180 # -- Loading package numeric_std
3181 # -- Loading package mem_pkg
3182 # -- Compiling architecture behaviour of r2_w_ram
3183 # -- Loading entity r2_w_ram
3184 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
3185 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3186 # -- Loading package standard
3187 # -- Loading package std_logic_1164
3188 # -- Loading package numeric_std
3189 # -- Compiling entity rom
3190 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3191 # -- Loading package standard
3192 # -- Loading package std_logic_1164
3193 # -- Loading package numeric_std
3194 # -- Loading package mem_pkg
3195 # -- Compiling architecture behaviour of rom
3196 # -- Loading entity rom
3197 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3198 # -- Loading package standard
3199 # -- Loading package std_logic_1164
3200 # -- Loading package numeric_std
3201 # -- Compiling package common_pkg
3202 # -- Compiling package body common_pkg
3203 # -- Loading package common_pkg
3204 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3205 # -- Loading package standard
3206 # -- Loading package std_logic_1164
3207 # -- Loading package numeric_std
3208 # -- Loading package common_pkg
3209 # -- Compiling package extension_pkg
3210 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3211 # -- Loading package standard
3212 # -- Loading package std_logic_1164
3213 # -- Loading package numeric_std
3214 # -- Loading package common_pkg
3215 # -- Loading package extension_pkg
3216 # -- Compiling package core_pkg
3217 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3218 # -- Loading package standard
3219 # -- Loading package std_logic_1164
3220 # -- Loading package numeric_std
3221 # -- Loading package common_pkg
3222 # -- Loading package extension_pkg
3223 # -- Compiling package extension_uart_pkg
3224 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3225 # -- Loading package standard
3226 # -- Loading package std_logic_1164
3227 # -- Loading package numeric_std
3228 # -- Loading package common_pkg
3229 # -- Loading package extension_pkg
3230 # -- Loading package extension_uart_pkg
3231 # -- Compiling entity extension_uart
3232 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3233 # -- Loading package standard
3234 # -- Loading package std_logic_1164
3235 # -- Loading package numeric_std
3236 # -- Loading package common_pkg
3237 # -- Loading package extension_pkg
3238 # -- Loading package core_pkg
3239 # -- Loading package mem_pkg
3240 # -- Loading package extension_uart_pkg
3241 # -- Compiling architecture behav of extension_uart
3242 # -- Loading entity extension_uart
3243 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3244 # -- Loading package standard
3245 # -- Loading package std_logic_1164
3246 # -- Loading package numeric_std
3247 # -- Loading package common_pkg
3248 # -- Loading package extension_pkg
3249 # -- Compiling package extension_7seg_pkg
3250 # -- Compiling package body extension_7seg_pkg
3251 # -- Loading package extension_7seg_pkg
3252 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3253 # -- Loading package standard
3254 # -- Loading package std_logic_1164
3255 # -- Loading package numeric_std
3256 # -- Loading package common_pkg
3257 # -- Loading package extension_pkg
3258 # -- Loading package extension_7seg_pkg
3259 # -- Compiling entity extension_7seg
3260 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3261 # -- Loading package standard
3262 # -- Loading package std_logic_1164
3263 # -- Loading package numeric_std
3264 # -- Loading package common_pkg
3265 # -- Loading package extension_pkg
3266 # -- Loading package core_pkg
3267 # -- Loading package mem_pkg
3268 # -- Loading package extension_7seg_pkg
3269 # -- Compiling architecture behav of extension_7seg
3270 # -- Loading entity extension_7seg
3271 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3272 # -- Loading package standard
3273 # -- Loading package std_logic_1164
3274 # -- Loading package std_logic_arith
3275 # -- Loading package std_logic_unsigned
3276 # -- Loading package numeric_std
3277 # -- Loading package common_pkg
3278 # -- Loading package extension_pkg
3279 # -- Loading package core_pkg
3280 # -- Loading package extension_uart_pkg
3281 # -- Compiling entity rs232_tx
3282 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3283 # -- Loading package standard
3284 # -- Loading package std_logic_1164
3285 # -- Loading package numeric_std
3286 # -- Loading package common_pkg
3287 # -- Loading package extension_pkg
3288 # -- Loading package core_pkg
3289 # -- Loading package extension_uart_pkg
3290 # -- Compiling architecture beh of rs232_tx
3291 # -- Loading package std_logic_arith
3292 # -- Loading package std_logic_unsigned
3293 # -- Loading entity rs232_tx
3294 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3295 # -- Loading package standard
3296 # -- Loading package std_logic_1164
3297 # -- Loading package std_logic_arith
3298 # -- Loading package std_logic_unsigned
3299 # -- Loading package numeric_std
3300 # -- Loading package common_pkg
3301 # -- Loading package extension_pkg
3302 # -- Loading package core_pkg
3303 # -- Loading package extension_uart_pkg
3304 # -- Compiling entity rs232_rx
3305 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3306 # -- Loading package standard
3307 # -- Loading package std_logic_1164
3308 # -- Loading package numeric_std
3309 # -- Loading package common_pkg
3310 # -- Loading package extension_pkg
3311 # -- Loading package extension_uart_pkg
3312 # -- Loading package core_pkg
3313 # -- Compiling architecture beh of rs232_rx
3314 # -- Loading package std_logic_arith
3315 # -- Loading package std_logic_unsigned
3316 # -- Loading entity rs232_rx
3317 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3318 # -- Loading package standard
3319 # -- Loading package std_logic_1164
3320 # -- Loading package numeric_std
3321 # -- Loading package common_pkg
3322 # -- Loading package extension_pkg
3323 # -- Loading package core_pkg
3324 # -- Compiling entity decoder
3325 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3326 # -- Loading package standard
3327 # -- Loading package std_logic_1164
3328 # -- Loading package numeric_std
3329 # -- Loading package mem_pkg
3330 # -- Loading package common_pkg
3331 # -- Loading package extension_pkg
3332 # -- Loading package core_pkg
3333 # -- Compiling architecture behav_d of decoder
3334 # -- Loading entity decoder
3335 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3336 # -- Loading package standard
3337 # -- Loading package std_logic_1164
3338 # -- Loading package numeric_std
3339 # -- Loading package common_pkg
3340 # -- Loading package extension_pkg
3341 # -- Loading package core_pkg
3342 # -- Compiling entity fetch_stage
3343 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3344 # -- Loading package standard
3345 # -- Loading package std_logic_1164
3346 # -- Loading package numeric_std
3347 # -- Loading package common_pkg
3348 # -- Loading package extension_pkg
3349 # -- Loading package core_pkg
3350 # -- Loading package mem_pkg
3351 # -- Compiling architecture behav of fetch_stage
3352 # -- Loading entity fetch_stage
3353 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3354 # -- Loading package standard
3355 # -- Loading package std_logic_1164
3356 # -- Loading package numeric_std
3357 # -- Loading package common_pkg
3358 # -- Loading package extension_pkg
3359 # -- Loading package core_pkg
3360 # -- Compiling entity decode_stage
3361 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3362 # -- Loading package standard
3363 # -- Loading package std_logic_1164
3364 # -- Loading package numeric_std
3365 # -- Loading package mem_pkg
3366 # -- Loading package common_pkg
3367 # -- Loading package extension_pkg
3368 # -- Loading package core_pkg
3369 # -- Compiling architecture behav of decode_stage
3370 # -- Loading entity decode_stage
3371 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3372 # -- Loading package standard
3373 # -- Loading package std_logic_1164
3374 # -- Loading package numeric_std
3375 # -- Loading package common_pkg
3376 # -- Loading package extension_pkg
3377 # -- Compiling package alu_pkg
3378 # -- Compiling package body alu_pkg
3379 # -- Loading package alu_pkg
3380 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3381 # -- Loading package standard
3382 # -- Loading package std_logic_1164
3383 # -- Loading package numeric_std
3384 # -- Loading package common_pkg
3385 # -- Compiling package extension_pkg
3386 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3387 # -- Loading package standard
3388 # -- Loading package std_logic_1164
3389 # -- Loading package numeric_std
3390 # -- Loading package common_pkg
3391 # -- Loading package extension_pkg
3392 # -- Loading package alu_pkg
3393 # -- Compiling entity exec_op
3394 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3395 # -- Loading package standard
3396 # -- Loading package std_logic_1164
3397 # -- Loading package numeric_std
3398 # -- Loading package common_pkg
3399 # -- Loading package extension_pkg
3400 # -- Loading package alu_pkg
3401 # -- Compiling architecture add_op of exec_op
3402 # -- Loading entity exec_op
3403 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3404 # -- Loading package standard
3405 # -- Loading package std_logic_1164
3406 # -- Loading package numeric_std
3407 # -- Loading package common_pkg
3408 # -- Loading package extension_pkg
3409 # -- Loading package alu_pkg
3410 # -- Compiling architecture and_op of exec_op
3411 # -- Loading entity exec_op
3412 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3413 # -- Loading package standard
3414 # -- Loading package std_logic_1164
3415 # -- Loading package numeric_std
3416 # -- Loading package common_pkg
3417 # -- Loading package extension_pkg
3418 # -- Loading package alu_pkg
3419 # -- Compiling architecture or_op of exec_op
3420 # -- Loading entity exec_op
3421 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3422 # -- Loading package standard
3423 # -- Loading package std_logic_1164
3424 # -- Loading package numeric_std
3425 # -- Loading package common_pkg
3426 # -- Loading package extension_pkg
3427 # -- Loading package alu_pkg
3428 # -- Compiling architecture xor_op of exec_op
3429 # -- Loading entity exec_op
3430 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3431 # -- Loading package standard
3432 # -- Loading package std_logic_1164
3433 # -- Loading package numeric_std
3434 # -- Loading package common_pkg
3435 # -- Loading package extension_pkg
3436 # -- Loading package alu_pkg
3437 # -- Compiling architecture shift_op of exec_op
3438 # -- Loading entity exec_op
3439 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3440 # -- Loading package standard
3441 # -- Loading package std_logic_1164
3442 # -- Loading package numeric_std
3443 # -- Loading package common_pkg
3444 # -- Loading package extension_pkg
3445 # -- Loading package alu_pkg
3446 # -- Compiling entity alu
3447 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3448 # -- Loading package standard
3449 # -- Loading package std_logic_1164
3450 # -- Loading package numeric_std
3451 # -- Loading package common_pkg
3452 # -- Loading package extension_pkg
3453 # -- Loading package alu_pkg
3454 # -- Compiling architecture behaviour of alu
3455 # -- Loading entity alu
3456 # -- Loading entity exec_op
3457 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3458 # -- Loading package standard
3459 # -- Loading package std_logic_1164
3460 # -- Loading package numeric_std
3461 # -- Loading package common_pkg
3462 # -- Compiling package extension_pkg
3463 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3464 # -- Loading package standard
3465 # -- Loading package std_logic_1164
3466 # -- Loading package numeric_std
3467 # -- Loading package common_pkg
3468 # -- Loading package extension_pkg
3469 # -- Compiling entity extension_gpm
3470 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3471 # -- Loading package standard
3472 # -- Loading package std_logic_1164
3473 # -- Loading package numeric_std
3474 # -- Loading package common_pkg
3475 # -- Loading package extension_pkg
3476 # -- Loading package core_pkg
3477 # -- Loading package mem_pkg
3478 # -- Compiling architecture behav of extension_gpm
3479 # -- Loading entity extension_gpm
3480 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3481 # -- Loading package standard
3482 # -- Loading package std_logic_1164
3483 # -- Loading package numeric_std
3484 # -- Loading package common_pkg
3485 # -- Loading package extension_pkg
3486 # -- Loading package alu_pkg
3487 # -- Compiling entity execute_stage
3488 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3489 # -- Loading package standard
3490 # -- Loading package std_logic_1164
3491 # -- Loading package numeric_std
3492 # -- Loading package common_pkg
3493 # -- Loading package extension_pkg
3494 # -- Loading package alu_pkg
3495 # -- Compiling architecture behav of execute_stage
3496 # -- Loading entity execute_stage
3497 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3498 # -- Loading package standard
3499 # -- Loading package std_logic_1164
3500 # -- Loading package numeric_std
3501 # -- Loading package common_pkg
3502 # -- Compiling entity writeback_stage
3503 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3504 # -- Loading package standard
3505 # -- Loading package std_logic_1164
3506 # -- Loading package numeric_std
3507 # -- Loading package common_pkg
3508 # -- Loading package extension_pkg
3509 # -- Loading package core_pkg
3510 # -- Loading package mem_pkg
3511 # -- Loading package extension_uart_pkg
3512 # -- Loading package extension_7seg_pkg
3513 # -- Compiling architecture behav of writeback_stage
3514 # -- Loading entity writeback_stage
3515 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
3516 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
3517 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
3518 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
3519 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3520 # -- Loading package standard
3521 # -- Loading package std_logic_1164
3522 # -- Loading package numeric_std
3523 # -- Loading package common_pkg
3524 # -- Loading package extension_pkg
3525 # -- Loading package core_pkg
3526 # -- Compiling entity pipeline_tb
3527 # -- Compiling architecture behavior of pipeline_tb
3528 # -- Compiling configuration pipeline_conf_beh
3529 # -- Loading entity pipeline_tb
3530 # -- Loading architecture behavior of pipeline_tb
3531 # -- Loading entity fetch_stage
3532 # -- Loading entity decode_stage
3533 # -- Loading package alu_pkg
3534 # -- Loading entity execute_stage
3535 # -- Loading entity writeback_stage
3536 # vsim -t ns work.pipeline_conf_beh 
3537 # Loading std.standard
3538 # Loading ieee.std_logic_1164(body)
3539 # Loading ieee.numeric_std(body)
3540 # Loading work.common_pkg(body)
3541 # Loading work.extension_pkg
3542 # Loading work.core_pkg
3543 # Loading work.alu_pkg(body)
3544 # Loading work.pipeline_conf_beh
3545 # Loading work.pipeline_tb(behavior)
3546 # Loading work.mem_pkg
3547 # Loading work.fetch_stage(behav)
3548 # Loading work.rom(behaviour)
3549 # Loading work.decode_stage(behav)
3550 # Loading work.r2_w_ram(behaviour)
3551 # Loading work.decoder(behav_d)
3552 # Loading work.execute_stage(behav)
3553 # Loading work.alu(behaviour)
3554 # Loading work.exec_op(add_op)
3555 # Loading work.exec_op(and_op)
3556 # Loading work.exec_op(or_op)
3557 # Loading work.exec_op(xor_op)
3558 # Loading work.exec_op(shift_op)
3559 # Loading work.extension_gpm(behav)
3560 # Loading work.extension_uart_pkg
3561 # Loading work.extension_7seg_pkg(body)
3562 # Loading work.writeback_stage(behav)
3563 # Loading work.r_w_ram(behaviour)
3564 # Loading work.extension_uart(behav)
3565 # Loading ieee.std_logic_arith(body)
3566 # Loading ieee.std_logic_unsigned(body)
3567 # Loading work.rs232_tx(beh)
3568 # Loading work.rs232_rx(beh)
3569 # Loading work.extension_7seg(behav)
3570 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3571 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
3572 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3573 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3574 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3575 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3576 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3577 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
3578 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3579 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3580 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3581 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3582 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3583 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3584 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3585 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3586 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3587 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3588 do testcore.do
3589 # ** Warning: (vlib-34) Library already exists at "work".
3590 # Modifying modelsim.ini
3591 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3592 # -- Loading package standard
3593 # -- Loading package std_logic_1164
3594 # -- Loading package numeric_std
3595 # -- Compiling package mem_pkg
3596 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3597 # -- Loading package standard
3598 # -- Loading package std_logic_1164
3599 # -- Loading package numeric_std
3600 # -- Compiling entity r_w_ram
3601 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3602 # -- Loading package standard
3603 # -- Loading package std_logic_1164
3604 # -- Loading package numeric_std
3605 # -- Loading package mem_pkg
3606 # -- Compiling architecture behaviour of r_w_ram
3607 # -- Loading entity r_w_ram
3608 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3609 # -- Loading package standard
3610 # -- Loading package std_logic_1164
3611 # -- Loading package numeric_std
3612 # -- Loading package mem_pkg
3613 # -- Compiling entity r2_w_ram
3614 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3615 # -- Loading package standard
3616 # -- Loading package std_logic_1164
3617 # -- Loading package numeric_std
3618 # -- Loading package mem_pkg
3619 # -- Compiling architecture behaviour of r2_w_ram
3620 # -- Loading entity r2_w_ram
3621 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
3622 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3623 # -- Loading package standard
3624 # -- Loading package std_logic_1164
3625 # -- Loading package numeric_std
3626 # -- Compiling entity rom
3627 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3628 # -- Loading package standard
3629 # -- Loading package std_logic_1164
3630 # -- Loading package numeric_std
3631 # -- Loading package mem_pkg
3632 # -- Compiling architecture behaviour of rom
3633 # -- Loading entity rom
3634 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3635 # -- Loading package standard
3636 # -- Loading package std_logic_1164
3637 # -- Loading package numeric_std
3638 # -- Compiling package common_pkg
3639 # -- Compiling package body common_pkg
3640 # -- Loading package common_pkg
3641 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3642 # -- Loading package standard
3643 # -- Loading package std_logic_1164
3644 # -- Loading package numeric_std
3645 # -- Loading package common_pkg
3646 # -- Compiling package extension_pkg
3647 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3648 # -- Loading package standard
3649 # -- Loading package std_logic_1164
3650 # -- Loading package numeric_std
3651 # -- Loading package common_pkg
3652 # -- Loading package extension_pkg
3653 # -- Compiling package core_pkg
3654 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3655 # -- Loading package standard
3656 # -- Loading package std_logic_1164
3657 # -- Loading package numeric_std
3658 # -- Loading package common_pkg
3659 # -- Loading package extension_pkg
3660 # -- Compiling package extension_uart_pkg
3661 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3662 # -- Loading package standard
3663 # -- Loading package std_logic_1164
3664 # -- Loading package numeric_std
3665 # -- Loading package common_pkg
3666 # -- Loading package extension_pkg
3667 # -- Loading package extension_uart_pkg
3668 # -- Compiling entity extension_uart
3669 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3670 # -- Loading package standard
3671 # -- Loading package std_logic_1164
3672 # -- Loading package numeric_std
3673 # -- Loading package common_pkg
3674 # -- Loading package extension_pkg
3675 # -- Loading package core_pkg
3676 # -- Loading package mem_pkg
3677 # -- Loading package extension_uart_pkg
3678 # -- Compiling architecture behav of extension_uart
3679 # -- Loading entity extension_uart
3680 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3681 # -- Loading package standard
3682 # -- Loading package std_logic_1164
3683 # -- Loading package numeric_std
3684 # -- Loading package common_pkg
3685 # -- Loading package extension_pkg
3686 # -- Compiling package extension_7seg_pkg
3687 # -- Compiling package body extension_7seg_pkg
3688 # -- Loading package extension_7seg_pkg
3689 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3690 # -- Loading package standard
3691 # -- Loading package std_logic_1164
3692 # -- Loading package numeric_std
3693 # -- Loading package common_pkg
3694 # -- Loading package extension_pkg
3695 # -- Loading package extension_7seg_pkg
3696 # -- Compiling entity extension_7seg
3697 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3698 # -- Loading package standard
3699 # -- Loading package std_logic_1164
3700 # -- Loading package numeric_std
3701 # -- Loading package common_pkg
3702 # -- Loading package extension_pkg
3703 # -- Loading package core_pkg
3704 # -- Loading package mem_pkg
3705 # -- Loading package extension_7seg_pkg
3706 # -- Compiling architecture behav of extension_7seg
3707 # -- Loading entity extension_7seg
3708 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3709 # -- Loading package standard
3710 # -- Loading package std_logic_1164
3711 # -- Loading package std_logic_arith
3712 # -- Loading package std_logic_unsigned
3713 # -- Loading package numeric_std
3714 # -- Loading package common_pkg
3715 # -- Loading package extension_pkg
3716 # -- Loading package core_pkg
3717 # -- Loading package extension_uart_pkg
3718 # -- Compiling entity rs232_tx
3719 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3720 # -- Loading package standard
3721 # -- Loading package std_logic_1164
3722 # -- Loading package numeric_std
3723 # -- Loading package common_pkg
3724 # -- Loading package extension_pkg
3725 # -- Loading package core_pkg
3726 # -- Loading package extension_uart_pkg
3727 # -- Compiling architecture beh of rs232_tx
3728 # -- Loading package std_logic_arith
3729 # -- Loading package std_logic_unsigned
3730 # -- Loading entity rs232_tx
3731 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3732 # -- Loading package standard
3733 # -- Loading package std_logic_1164
3734 # -- Loading package std_logic_arith
3735 # -- Loading package std_logic_unsigned
3736 # -- Loading package numeric_std
3737 # -- Loading package common_pkg
3738 # -- Loading package extension_pkg
3739 # -- Loading package core_pkg
3740 # -- Loading package extension_uart_pkg
3741 # -- Compiling entity rs232_rx
3742 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3743 # -- Loading package standard
3744 # -- Loading package std_logic_1164
3745 # -- Loading package numeric_std
3746 # -- Loading package common_pkg
3747 # -- Loading package extension_pkg
3748 # -- Loading package extension_uart_pkg
3749 # -- Loading package core_pkg
3750 # -- Compiling architecture beh of rs232_rx
3751 # -- Loading package std_logic_arith
3752 # -- Loading package std_logic_unsigned
3753 # -- Loading entity rs232_rx
3754 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3755 # -- Loading package standard
3756 # -- Loading package std_logic_1164
3757 # -- Loading package numeric_std
3758 # -- Loading package common_pkg
3759 # -- Loading package extension_pkg
3760 # -- Loading package core_pkg
3761 # -- Compiling entity decoder
3762 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3763 # -- Loading package standard
3764 # -- Loading package std_logic_1164
3765 # -- Loading package numeric_std
3766 # -- Loading package mem_pkg
3767 # -- Loading package common_pkg
3768 # -- Loading package extension_pkg
3769 # -- Loading package core_pkg
3770 # -- Compiling architecture behav_d of decoder
3771 # -- Loading entity decoder
3772 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3773 # -- Loading package standard
3774 # -- Loading package std_logic_1164
3775 # -- Loading package numeric_std
3776 # -- Loading package common_pkg
3777 # -- Loading package extension_pkg
3778 # -- Loading package core_pkg
3779 # -- Compiling entity fetch_stage
3780 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3781 # -- Loading package standard
3782 # -- Loading package std_logic_1164
3783 # -- Loading package numeric_std
3784 # -- Loading package common_pkg
3785 # -- Loading package extension_pkg
3786 # -- Loading package core_pkg
3787 # -- Loading package mem_pkg
3788 # -- Compiling architecture behav of fetch_stage
3789 # -- Loading entity fetch_stage
3790 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3791 # -- Loading package standard
3792 # -- Loading package std_logic_1164
3793 # -- Loading package numeric_std
3794 # -- Loading package common_pkg
3795 # -- Loading package extension_pkg
3796 # -- Loading package core_pkg
3797 # -- Compiling entity decode_stage
3798 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3799 # -- Loading package standard
3800 # -- Loading package std_logic_1164
3801 # -- Loading package numeric_std
3802 # -- Loading package mem_pkg
3803 # -- Loading package common_pkg
3804 # -- Loading package extension_pkg
3805 # -- Loading package core_pkg
3806 # -- Compiling architecture behav of decode_stage
3807 # -- Loading entity decode_stage
3808 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3809 # -- Loading package standard
3810 # -- Loading package std_logic_1164
3811 # -- Loading package numeric_std
3812 # -- Loading package common_pkg
3813 # -- Loading package extension_pkg
3814 # -- Compiling package alu_pkg
3815 # -- Compiling package body alu_pkg
3816 # -- Loading package alu_pkg
3817 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3818 # -- Loading package standard
3819 # -- Loading package std_logic_1164
3820 # -- Loading package numeric_std
3821 # -- Loading package common_pkg
3822 # -- Compiling package extension_pkg
3823 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3824 # -- Loading package standard
3825 # -- Loading package std_logic_1164
3826 # -- Loading package numeric_std
3827 # -- Loading package common_pkg
3828 # -- Loading package extension_pkg
3829 # -- Loading package alu_pkg
3830 # -- Compiling entity exec_op
3831 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3832 # -- Loading package standard
3833 # -- Loading package std_logic_1164
3834 # -- Loading package numeric_std
3835 # -- Loading package common_pkg
3836 # -- Loading package extension_pkg
3837 # -- Loading package alu_pkg
3838 # -- Compiling architecture add_op of exec_op
3839 # -- Loading entity exec_op
3840 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3841 # -- Loading package standard
3842 # -- Loading package std_logic_1164
3843 # -- Loading package numeric_std
3844 # -- Loading package common_pkg
3845 # -- Loading package extension_pkg
3846 # -- Loading package alu_pkg
3847 # -- Compiling architecture and_op of exec_op
3848 # -- Loading entity exec_op
3849 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3850 # -- Loading package standard
3851 # -- Loading package std_logic_1164
3852 # -- Loading package numeric_std
3853 # -- Loading package common_pkg
3854 # -- Loading package extension_pkg
3855 # -- Loading package alu_pkg
3856 # -- Compiling architecture or_op of exec_op
3857 # -- Loading entity exec_op
3858 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3859 # -- Loading package standard
3860 # -- Loading package std_logic_1164
3861 # -- Loading package numeric_std
3862 # -- Loading package common_pkg
3863 # -- Loading package extension_pkg
3864 # -- Loading package alu_pkg
3865 # -- Compiling architecture xor_op of exec_op
3866 # -- Loading entity exec_op
3867 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3868 # -- Loading package standard
3869 # -- Loading package std_logic_1164
3870 # -- Loading package numeric_std
3871 # -- Loading package common_pkg
3872 # -- Loading package extension_pkg
3873 # -- Loading package alu_pkg
3874 # -- Compiling architecture shift_op of exec_op
3875 # -- Loading entity exec_op
3876 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3877 # -- Loading package standard
3878 # -- Loading package std_logic_1164
3879 # -- Loading package numeric_std
3880 # -- Loading package common_pkg
3881 # -- Loading package extension_pkg
3882 # -- Loading package alu_pkg
3883 # -- Compiling entity alu
3884 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3885 # -- Loading package standard
3886 # -- Loading package std_logic_1164
3887 # -- Loading package numeric_std
3888 # -- Loading package common_pkg
3889 # -- Loading package extension_pkg
3890 # -- Loading package alu_pkg
3891 # -- Compiling architecture behaviour of alu
3892 # -- Loading entity alu
3893 # -- Loading entity exec_op
3894 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3895 # -- Loading package standard
3896 # -- Loading package std_logic_1164
3897 # -- Loading package numeric_std
3898 # -- Loading package common_pkg
3899 # -- Compiling package extension_pkg
3900 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3901 # -- Loading package standard
3902 # -- Loading package std_logic_1164
3903 # -- Loading package numeric_std
3904 # -- Loading package common_pkg
3905 # -- Loading package extension_pkg
3906 # -- Compiling entity extension_gpm
3907 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3908 # -- Loading package standard
3909 # -- Loading package std_logic_1164
3910 # -- Loading package numeric_std
3911 # -- Loading package common_pkg
3912 # -- Loading package extension_pkg
3913 # -- Loading package core_pkg
3914 # -- Loading package mem_pkg
3915 # -- Compiling architecture behav of extension_gpm
3916 # -- Loading entity extension_gpm
3917 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3918 # -- Loading package standard
3919 # -- Loading package std_logic_1164
3920 # -- Loading package numeric_std
3921 # -- Loading package common_pkg
3922 # -- Loading package extension_pkg
3923 # -- Loading package alu_pkg
3924 # -- Compiling entity execute_stage
3925 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3926 # -- Loading package standard
3927 # -- Loading package std_logic_1164
3928 # -- Loading package numeric_std
3929 # -- Loading package common_pkg
3930 # -- Loading package extension_pkg
3931 # -- Loading package alu_pkg
3932 # -- Compiling architecture behav of execute_stage
3933 # -- Loading entity execute_stage
3934 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3935 # -- Loading package standard
3936 # -- Loading package std_logic_1164
3937 # -- Loading package numeric_std
3938 # -- Loading package common_pkg
3939 # -- Compiling entity writeback_stage
3940 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3941 # -- Loading package standard
3942 # -- Loading package std_logic_1164
3943 # -- Loading package numeric_std
3944 # -- Loading package common_pkg
3945 # -- Loading package extension_pkg
3946 # -- Loading package core_pkg
3947 # -- Loading package mem_pkg
3948 # -- Loading package extension_uart_pkg
3949 # -- Loading package extension_7seg_pkg
3950 # -- Compiling architecture behav of writeback_stage
3951 # -- Loading entity writeback_stage
3952 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
3953 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
3954 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
3955 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
3956 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3957 # -- Loading package standard
3958 # -- Loading package std_logic_1164
3959 # -- Loading package numeric_std
3960 # -- Loading package common_pkg
3961 # -- Loading package extension_pkg
3962 # -- Loading package core_pkg
3963 # -- Compiling entity pipeline_tb
3964 # -- Compiling architecture behavior of pipeline_tb
3965 # -- Compiling configuration pipeline_conf_beh
3966 # -- Loading entity pipeline_tb
3967 # -- Loading architecture behavior of pipeline_tb
3968 # -- Loading entity fetch_stage
3969 # -- Loading entity decode_stage
3970 # -- Loading package alu_pkg
3971 # -- Loading entity execute_stage
3972 # -- Loading entity writeback_stage
3973 # vsim -t ns work.pipeline_conf_beh 
3974 # Loading std.standard
3975 # Loading ieee.std_logic_1164(body)
3976 # Loading ieee.numeric_std(body)
3977 # Loading work.common_pkg(body)
3978 # Loading work.extension_pkg
3979 # Loading work.core_pkg
3980 # Loading work.alu_pkg(body)
3981 # Loading work.pipeline_conf_beh
3982 # Loading work.pipeline_tb(behavior)
3983 # Loading work.mem_pkg
3984 # Loading work.fetch_stage(behav)
3985 # Loading work.rom(behaviour)
3986 # Loading work.decode_stage(behav)
3987 # Loading work.r2_w_ram(behaviour)
3988 # Loading work.decoder(behav_d)
3989 # Loading work.execute_stage(behav)
3990 # Loading work.alu(behaviour)
3991 # Loading work.exec_op(add_op)
3992 # Loading work.exec_op(and_op)
3993 # Loading work.exec_op(or_op)
3994 # Loading work.exec_op(xor_op)
3995 # Loading work.exec_op(shift_op)
3996 # Loading work.extension_gpm(behav)
3997 # Loading work.extension_uart_pkg
3998 # Loading work.extension_7seg_pkg(body)
3999 # Loading work.writeback_stage(behav)
4000 # Loading work.r_w_ram(behaviour)
4001 # Loading work.extension_uart(behav)
4002 # Loading ieee.std_logic_arith(body)
4003 # Loading ieee.std_logic_unsigned(body)
4004 # Loading work.rs232_tx(beh)
4005 # Loading work.rs232_rx(beh)
4006 # Loading work.extension_7seg(behav)
4007 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4008 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
4009 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4010 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
4011 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4012 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4013 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4014 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
4015 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4016 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4017 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4018 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4019 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4020 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4021 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4022 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4023 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4024 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
4025 do testcore.do
4026 # ** Warning: (vlib-34) Library already exists at "work".
4027 # Modifying modelsim.ini
4028 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4029 # -- Loading package standard
4030 # -- Loading package std_logic_1164
4031 # -- Loading package numeric_std
4032 # -- Compiling package mem_pkg
4033 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4034 # -- Loading package standard
4035 # -- Loading package std_logic_1164
4036 # -- Loading package numeric_std
4037 # -- Compiling entity r_w_ram
4038 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4039 # -- Loading package standard
4040 # -- Loading package std_logic_1164
4041 # -- Loading package numeric_std
4042 # -- Loading package mem_pkg
4043 # -- Compiling architecture behaviour of r_w_ram
4044 # -- Loading entity r_w_ram
4045 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4046 # -- Loading package standard
4047 # -- Loading package std_logic_1164
4048 # -- Loading package numeric_std
4049 # -- Loading package mem_pkg
4050 # -- Compiling entity r2_w_ram
4051 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4052 # -- Loading package standard
4053 # -- Loading package std_logic_1164
4054 # -- Loading package numeric_std
4055 # -- Loading package mem_pkg
4056 # -- Compiling architecture behaviour of r2_w_ram
4057 # -- Loading entity r2_w_ram
4058 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
4059 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4060 # -- Loading package standard
4061 # -- Loading package std_logic_1164
4062 # -- Loading package numeric_std
4063 # -- Compiling entity rom
4064 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4065 # -- Loading package standard
4066 # -- Loading package std_logic_1164
4067 # -- Loading package numeric_std
4068 # -- Loading package mem_pkg
4069 # -- Compiling architecture behaviour of rom
4070 # -- Loading entity rom
4071 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4072 # -- Loading package standard
4073 # -- Loading package std_logic_1164
4074 # -- Loading package numeric_std
4075 # -- Compiling package common_pkg
4076 # -- Compiling package body common_pkg
4077 # -- Loading package common_pkg
4078 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4079 # -- Loading package standard
4080 # -- Loading package std_logic_1164
4081 # -- Loading package numeric_std
4082 # -- Loading package common_pkg
4083 # -- Compiling package extension_pkg
4084 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4085 # -- Loading package standard
4086 # -- Loading package std_logic_1164
4087 # -- Loading package numeric_std
4088 # -- Loading package common_pkg
4089 # -- Loading package extension_pkg
4090 # -- Compiling package core_pkg
4091 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4092 # -- Loading package standard
4093 # -- Loading package std_logic_1164
4094 # -- Loading package numeric_std
4095 # -- Loading package common_pkg
4096 # -- Loading package extension_pkg
4097 # -- Compiling package extension_uart_pkg
4098 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4099 # -- Loading package standard
4100 # -- Loading package std_logic_1164
4101 # -- Loading package numeric_std
4102 # -- Loading package common_pkg
4103 # -- Loading package extension_pkg
4104 # -- Loading package extension_uart_pkg
4105 # -- Compiling entity extension_uart
4106 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4107 # -- Loading package standard
4108 # -- Loading package std_logic_1164
4109 # -- Loading package numeric_std
4110 # -- Loading package common_pkg
4111 # -- Loading package extension_pkg
4112 # -- Loading package core_pkg
4113 # -- Loading package mem_pkg
4114 # -- Loading package extension_uart_pkg
4115 # -- Compiling architecture behav of extension_uart
4116 # -- Loading entity extension_uart
4117 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4118 # -- Loading package standard
4119 # -- Loading package std_logic_1164
4120 # -- Loading package numeric_std
4121 # -- Loading package common_pkg
4122 # -- Loading package extension_pkg
4123 # -- Compiling package extension_7seg_pkg
4124 # -- Compiling package body extension_7seg_pkg
4125 # -- Loading package extension_7seg_pkg
4126 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4127 # -- Loading package standard
4128 # -- Loading package std_logic_1164
4129 # -- Loading package numeric_std
4130 # -- Loading package common_pkg
4131 # -- Loading package extension_pkg
4132 # -- Loading package extension_7seg_pkg
4133 # -- Compiling entity extension_7seg
4134 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4135 # -- Loading package standard
4136 # -- Loading package std_logic_1164
4137 # -- Loading package numeric_std
4138 # -- Loading package common_pkg
4139 # -- Loading package extension_pkg
4140 # -- Loading package core_pkg
4141 # -- Loading package mem_pkg
4142 # -- Loading package extension_7seg_pkg
4143 # -- Compiling architecture behav of extension_7seg
4144 # -- Loading entity extension_7seg
4145 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4146 # -- Loading package standard
4147 # -- Loading package std_logic_1164
4148 # -- Loading package std_logic_arith
4149 # -- Loading package std_logic_unsigned
4150 # -- Loading package numeric_std
4151 # -- Loading package common_pkg
4152 # -- Loading package extension_pkg
4153 # -- Loading package core_pkg
4154 # -- Loading package extension_uart_pkg
4155 # -- Compiling entity rs232_tx
4156 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4157 # -- Loading package standard
4158 # -- Loading package std_logic_1164
4159 # -- Loading package numeric_std
4160 # -- Loading package common_pkg
4161 # -- Loading package extension_pkg
4162 # -- Loading package core_pkg
4163 # -- Loading package extension_uart_pkg
4164 # -- Compiling architecture beh of rs232_tx
4165 # -- Loading package std_logic_arith
4166 # -- Loading package std_logic_unsigned
4167 # -- Loading entity rs232_tx
4168 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4169 # -- Loading package standard
4170 # -- Loading package std_logic_1164
4171 # -- Loading package std_logic_arith
4172 # -- Loading package std_logic_unsigned
4173 # -- Loading package numeric_std
4174 # -- Loading package common_pkg
4175 # -- Loading package extension_pkg
4176 # -- Loading package core_pkg
4177 # -- Loading package extension_uart_pkg
4178 # -- Compiling entity rs232_rx
4179 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4180 # -- Loading package standard
4181 # -- Loading package std_logic_1164
4182 # -- Loading package numeric_std
4183 # -- Loading package common_pkg
4184 # -- Loading package extension_pkg
4185 # -- Loading package extension_uart_pkg
4186 # -- Loading package core_pkg
4187 # -- Compiling architecture beh of rs232_rx
4188 # -- Loading package std_logic_arith
4189 # -- Loading package std_logic_unsigned
4190 # -- Loading entity rs232_rx
4191 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4192 # -- Loading package standard
4193 # -- Loading package std_logic_1164
4194 # -- Loading package numeric_std
4195 # -- Loading package common_pkg
4196 # -- Loading package extension_pkg
4197 # -- Loading package core_pkg
4198 # -- Compiling entity decoder
4199 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4200 # -- Loading package standard
4201 # -- Loading package std_logic_1164
4202 # -- Loading package numeric_std
4203 # -- Loading package mem_pkg
4204 # -- Loading package common_pkg
4205 # -- Loading package extension_pkg
4206 # -- Loading package core_pkg
4207 # -- Compiling architecture behav_d of decoder
4208 # -- Loading entity decoder
4209 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4210 # -- Loading package standard
4211 # -- Loading package std_logic_1164
4212 # -- Loading package numeric_std
4213 # -- Loading package common_pkg
4214 # -- Loading package extension_pkg
4215 # -- Loading package core_pkg
4216 # -- Compiling entity fetch_stage
4217 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4218 # -- Loading package standard
4219 # -- Loading package std_logic_1164
4220 # -- Loading package numeric_std
4221 # -- Loading package common_pkg
4222 # -- Loading package extension_pkg
4223 # -- Loading package core_pkg
4224 # -- Loading package mem_pkg
4225 # -- Compiling architecture behav of fetch_stage
4226 # -- Loading entity fetch_stage
4227 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4228 # -- Loading package standard
4229 # -- Loading package std_logic_1164
4230 # -- Loading package numeric_std
4231 # -- Loading package common_pkg
4232 # -- Loading package extension_pkg
4233 # -- Loading package core_pkg
4234 # -- Compiling entity decode_stage
4235 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4236 # -- Loading package standard
4237 # -- Loading package std_logic_1164
4238 # -- Loading package numeric_std
4239 # -- Loading package mem_pkg
4240 # -- Loading package common_pkg
4241 # -- Loading package extension_pkg
4242 # -- Loading package core_pkg
4243 # -- Compiling architecture behav of decode_stage
4244 # -- Loading entity decode_stage
4245 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4246 # -- Loading package standard
4247 # -- Loading package std_logic_1164
4248 # -- Loading package numeric_std
4249 # -- Loading package common_pkg
4250 # -- Loading package extension_pkg
4251 # -- Compiling package alu_pkg
4252 # -- Compiling package body alu_pkg
4253 # -- Loading package alu_pkg
4254 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4255 # -- Loading package standard
4256 # -- Loading package std_logic_1164
4257 # -- Loading package numeric_std
4258 # -- Loading package common_pkg
4259 # -- Compiling package extension_pkg
4260 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4261 # -- Loading package standard
4262 # -- Loading package std_logic_1164
4263 # -- Loading package numeric_std
4264 # -- Loading package common_pkg
4265 # -- Loading package extension_pkg
4266 # -- Loading package alu_pkg
4267 # -- Compiling entity exec_op
4268 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4269 # -- Loading package standard
4270 # -- Loading package std_logic_1164
4271 # -- Loading package numeric_std
4272 # -- Loading package common_pkg
4273 # -- Loading package extension_pkg
4274 # -- Loading package alu_pkg
4275 # -- Compiling architecture add_op of exec_op
4276 # -- Loading entity exec_op
4277 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4278 # -- Loading package standard
4279 # -- Loading package std_logic_1164
4280 # -- Loading package numeric_std
4281 # -- Loading package common_pkg
4282 # -- Loading package extension_pkg
4283 # -- Loading package alu_pkg
4284 # -- Compiling architecture and_op of exec_op
4285 # -- Loading entity exec_op
4286 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4287 # -- Loading package standard
4288 # -- Loading package std_logic_1164
4289 # -- Loading package numeric_std
4290 # -- Loading package common_pkg
4291 # -- Loading package extension_pkg
4292 # -- Loading package alu_pkg
4293 # -- Compiling architecture or_op of exec_op
4294 # -- Loading entity exec_op
4295 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4296 # -- Loading package standard
4297 # -- Loading package std_logic_1164
4298 # -- Loading package numeric_std
4299 # -- Loading package common_pkg
4300 # -- Loading package extension_pkg
4301 # -- Loading package alu_pkg
4302 # -- Compiling architecture xor_op of exec_op
4303 # -- Loading entity exec_op
4304 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4305 # -- Loading package standard
4306 # -- Loading package std_logic_1164
4307 # -- Loading package numeric_std
4308 # -- Loading package common_pkg
4309 # -- Loading package extension_pkg
4310 # -- Loading package alu_pkg
4311 # -- Compiling architecture shift_op of exec_op
4312 # -- Loading entity exec_op
4313 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4314 # -- Loading package standard
4315 # -- Loading package std_logic_1164
4316 # -- Loading package numeric_std
4317 # -- Loading package common_pkg
4318 # -- Loading package extension_pkg
4319 # -- Loading package alu_pkg
4320 # -- Compiling entity alu
4321 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4322 # -- Loading package standard
4323 # -- Loading package std_logic_1164
4324 # -- Loading package numeric_std
4325 # -- Loading package common_pkg
4326 # -- Loading package extension_pkg
4327 # -- Loading package alu_pkg
4328 # -- Compiling architecture behaviour of alu
4329 # -- Loading entity alu
4330 # -- Loading entity exec_op
4331 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4332 # -- Loading package standard
4333 # -- Loading package std_logic_1164
4334 # -- Loading package numeric_std
4335 # -- Loading package common_pkg
4336 # -- Compiling package extension_pkg
4337 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4338 # -- Loading package standard
4339 # -- Loading package std_logic_1164
4340 # -- Loading package numeric_std
4341 # -- Loading package common_pkg
4342 # -- Loading package extension_pkg
4343 # -- Compiling entity extension_gpm
4344 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4345 # -- Loading package standard
4346 # -- Loading package std_logic_1164
4347 # -- Loading package numeric_std
4348 # -- Loading package common_pkg
4349 # -- Loading package extension_pkg
4350 # -- Loading package core_pkg
4351 # -- Loading package mem_pkg
4352 # -- Compiling architecture behav of extension_gpm
4353 # -- Loading entity extension_gpm
4354 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4355 # -- Loading package standard
4356 # -- Loading package std_logic_1164
4357 # -- Loading package numeric_std
4358 # -- Loading package common_pkg
4359 # -- Loading package extension_pkg
4360 # -- Loading package alu_pkg
4361 # -- Compiling entity execute_stage
4362 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4363 # -- Loading package standard
4364 # -- Loading package std_logic_1164
4365 # -- Loading package numeric_std
4366 # -- Loading package common_pkg
4367 # -- Loading package extension_pkg
4368 # -- Loading package alu_pkg
4369 # -- Compiling architecture behav of execute_stage
4370 # -- Loading entity execute_stage
4371 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4372 # -- Loading package standard
4373 # -- Loading package std_logic_1164
4374 # -- Loading package numeric_std
4375 # -- Loading package common_pkg
4376 # -- Compiling entity writeback_stage
4377 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4378 # -- Loading package standard
4379 # -- Loading package std_logic_1164
4380 # -- Loading package numeric_std
4381 # -- Loading package common_pkg
4382 # -- Loading package extension_pkg
4383 # -- Loading package core_pkg
4384 # -- Loading package mem_pkg
4385 # -- Loading package extension_uart_pkg
4386 # -- Loading package extension_7seg_pkg
4387 # -- Compiling architecture behav of writeback_stage
4388 # -- Loading entity writeback_stage
4389 # ** Warning: ../src/writeback_stage_b.vhd(298): Case choice must be a locally static expression.
4390 # ** Warning: ../src/writeback_stage_b.vhd(314): Case choice must be a locally static expression.
4391 # ** Warning: ../src/writeback_stage_b.vhd(332): Case choice must be a locally static expression.
4392 # ** Warning: ../src/writeback_stage_b.vhd(345): Case choice must be a locally static expression.
4393 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4394 # -- Loading package standard
4395 # -- Loading package std_logic_1164
4396 # -- Loading package numeric_std
4397 # -- Loading package common_pkg
4398 # -- Loading package extension_pkg
4399 # -- Loading package core_pkg
4400 # -- Compiling entity pipeline_tb
4401 # -- Compiling architecture behavior of pipeline_tb
4402 # -- Compiling configuration pipeline_conf_beh
4403 # -- Loading entity pipeline_tb
4404 # -- Loading architecture behavior of pipeline_tb
4405 # -- Loading entity fetch_stage
4406 # -- Loading entity decode_stage
4407 # -- Loading package alu_pkg
4408 # -- Loading entity execute_stage
4409 # -- Loading entity writeback_stage
4410 # vsim -t ns work.pipeline_conf_beh 
4411 # Loading std.standard
4412 # Loading ieee.std_logic_1164(body)
4413 # Loading ieee.numeric_std(body)
4414 # Loading work.common_pkg(body)
4415 # Loading work.extension_pkg
4416 # Loading work.core_pkg
4417 # Loading work.alu_pkg(body)
4418 # Loading work.pipeline_conf_beh
4419 # Loading work.pipeline_tb(behavior)
4420 # Loading work.mem_pkg
4421 # Loading work.fetch_stage(behav)
4422 # Loading work.rom(behaviour)
4423 # Loading work.decode_stage(behav)
4424 # Loading work.r2_w_ram(behaviour)
4425 # Loading work.decoder(behav_d)
4426 # Loading work.execute_stage(behav)
4427 # Loading work.alu(behaviour)
4428 # Loading work.exec_op(add_op)
4429 # Loading work.exec_op(and_op)
4430 # Loading work.exec_op(or_op)
4431 # Loading work.exec_op(xor_op)
4432 # Loading work.exec_op(shift_op)
4433 # Loading work.extension_gpm(behav)
4434 # Loading work.extension_uart_pkg
4435 # Loading work.extension_7seg_pkg(body)
4436 # Loading work.writeback_stage(behav)
4437 # Loading work.r_w_ram(behaviour)
4438 # Loading work.extension_uart(behav)
4439 # Loading ieee.std_logic_arith(body)
4440 # Loading ieee.std_logic_unsigned(body)
4441 # Loading work.rs232_tx(beh)
4442 # Loading work.rs232_rx(beh)
4443 # Loading work.extension_7seg(behav)
4444 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4445 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
4446 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4447 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
4448 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4449 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4450 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4451 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
4452 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4453 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4454 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4455 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4456 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4457 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4458 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4459 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4460 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4461 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram