added soft reset
[calu.git] / dt / dt.qsf
1 # -------------------------------------------------------------------------- #
2 #
3 # Copyright (C) 1991-2010 Altera Corporation
4 # Your use of Altera Corporation's design tools, logic functions 
5 # and other software and tools, and its AMPP partner logic 
6 # functions, and any output files from any of the foregoing 
7 # (including device programming or simulation files), and any 
8 # associated documentation or information are expressly subject 
9 # to the terms and conditions of the Altera Program License 
10 # Subscription Agreement, Altera MegaCore Function License 
11 # Agreement, or other applicable license agreement, including, 
12 # without limitation, that your use is for the sole purpose of 
13 # programming logic devices manufactured by Altera and sold by 
14 # Altera or its authorized distributors.  Please refer to the 
15 # applicable agreement for further details.
16 #
17 # -------------------------------------------------------------------------- #
18 #
19 # Quartus II
20 # Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
21 # Date created = 15:08:54  December 16, 2010
22 #
23 # -------------------------------------------------------------------------- #
24 #
25 # Notes:
26 #
27 # 1) The default values for assignments are stored in the file:
28 #               dt_assignment_defaults.qdf
29 #    If this file doesn't exist, see file:
30 #               assignment_defaults.qdf
31 #
32 # 2) Altera recommends that you do not modify this file. This
33 #    file is updated automatically by the Quartus II software
34 #    and any changes you make may be lost or overwritten.
35 #
36 # -------------------------------------------------------------------------- #
37
38
39 set_global_assignment -name FAMILY Cyclone
40 set_global_assignment -name DEVICE EP1C12Q240C8
41 set_global_assignment -name TOP_LEVEL_ENTITY core_top
42 set_global_assignment -name ORIGINAL_QUARTUS_VERSION "10.0 SP1"
43 set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:08:54  DECEMBER 16, 2010"
44 set_global_assignment -name LAST_QUARTUS_VERSION "10.0 SP1"
45 set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
46 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8
47 set_global_assignment -name GENERATE_RBF_FILE ON
48 set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
49 set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
50 set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS"
51 set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
52 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
53 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
54 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
55 set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
56 set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
57 set_location_assignment PIN_178 -to bus_tx
58 set_location_assignment PIN_152 -to sys_clk
59 set_location_assignment PIN_153 -to bus_rx
60 set_location_assignment PIN_166 -to led2
61 set_location_assignment PIN_42 -to sys_res
62 set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
63
64
65 set_global_assignment -name SMART_RECOMPILE ON
66 set_global_assignment -name ENABLE_DRC_SETTINGS ON
67 set_global_assignment -name ENABLE_CLOCK_LATENCY ON
68 set_global_assignment -name ENABLE_RECOVERY_REMOVAL_ANALYSIS ON
69 set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE SPEED
70 set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
71 set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
72 set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
73 set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION ON
74 set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL MAXIMUM
75 set_global_assignment -name AUTO_PACKED_REGISTERS_CYCLONE NORMAL
76 set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
77 set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
78 set_global_assignment -name MUX_RESTRUCTURE OFF
79 set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
80 set_global_assignment -name FMAX_REQUIREMENT "50 MHz"
81
82
83
84
85 set_global_assignment -name VHDL_FILE ../cpu/src/core_top_c2de1.vhd
86 set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram_be_b.vhd
87 set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram_be.vhd
88 set_global_assignment -name VHDL_FILE ../cpu/src/rom.vhd
89 set_global_assignment -name VHDL_FILE ../cpu/src/rom_b.vhd
90 set_global_assignment -name VHDL_FILE ../cpu/src/extension_7seg_pkg.vhd
91 set_global_assignment -name VHDL_FILE ../cpu/src/extension_7seg_b.vhd
92 set_global_assignment -name VHDL_FILE ../cpu/src/extension_7seg.vhd
93 set_global_assignment -name VHDL_FILE ../cpu/src/rs232_rx_arc.vhd
94 set_global_assignment -name VHDL_FILE ../cpu/src/rs232_rx.vhd
95 set_global_assignment -name VHDL_FILE ../cpu/src/writeback_stage_b.vhd
96 set_global_assignment -name VHDL_FILE ../cpu/src/writeback_stage.vhd
97 set_global_assignment -name VHDL_FILE ../cpu/src/rw_r_ram_b.vhd
98 set_global_assignment -name VHDL_FILE ../cpu/src/rw_r_ram.vhd
99 set_global_assignment -name VHDL_FILE ../cpu/src/rs232_tx_arc.vhd
100 set_global_assignment -name VHDL_FILE ../cpu/src/rs232_tx.vhd
101 set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram_b.vhd
102 set_global_assignment -name VHDL_FILE ../cpu/src/r_w_ram.vhd
103 set_global_assignment -name VHDL_FILE ../cpu/src/r2_w_ram_b.vhd
104 set_global_assignment -name VHDL_FILE ../cpu/src/r2_w_ram.vhd
105 set_global_assignment -name VHDL_FILE ../cpu/src/pipeline_tb.vhd
106 set_global_assignment -name VHDL_FILE ../cpu/src/mem_pkg.vhd
107 set_global_assignment -name VHDL_FILE ../cpu/src/fetch_stage_b.vhd
108 set_global_assignment -name VHDL_FILE ../cpu/src/fetch_stage.vhd
109 set_global_assignment -name VHDL_FILE ../cpu/src/extension_uart_pkg.vhd
110 set_global_assignment -name VHDL_FILE ../cpu/src/extension_uart_b.vhd
111 set_global_assignment -name VHDL_FILE ../cpu/src/extension_uart.vhd
112 set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp_pkg.vhd
113 set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp_b.vhd
114 set_global_assignment -name VHDL_FILE ../cpu/src/extension_imp.vhd
115 set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt_pkg.vhd
116 set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt_b.vhd
117 set_global_assignment -name VHDL_FILE ../cpu/src/extension_interrupt.vhd
118 set_global_assignment -name VHDL_FILE ../cpu/src/extension_pkg.vhd
119 set_global_assignment -name VHDL_FILE ../cpu/src/extension_b.vhd
120 set_global_assignment -name VHDL_FILE ../cpu/src/extension.vhd
121 set_global_assignment -name VHDL_FILE ../cpu/src/execute_stage_b.vhd
122 set_global_assignment -name VHDL_FILE ../cpu/src/execute_stage.vhd
123 set_global_assignment -name VHDL_FILE ../cpu/src/exec_op.vhd
124 set_global_assignment -name VHDL_FILE ../cpu/src/decoder_b.vhd
125 set_global_assignment -name VHDL_FILE ../cpu/src/decoder.vhd
126 set_global_assignment -name VHDL_FILE ../cpu/src/decode_stage_b.vhd
127 set_global_assignment -name VHDL_FILE ../cpu/src/decode_stage.vhd
128 set_global_assignment -name VHDL_FILE ../cpu/src/core_top.vhd
129 set_global_assignment -name VHDL_FILE ../cpu/src/core_pkg.vhd
130 set_global_assignment -name VHDL_FILE ../cpu/src/common_pkg.vhd
131 set_global_assignment -name VHDL_FILE ../cpu/src/alu_pkg.vhd
132 set_global_assignment -name VHDL_FILE ../cpu/src/alu_b.vhd
133 set_global_assignment -name VHDL_FILE ../cpu/src/alu.vhd
134 set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/xor_op_b.vhd
135 set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/shift_op_b.vhd
136 set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/or_op_b.vhd
137 set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/and_op_b.vhd
138 set_global_assignment -name VHDL_FILE ../cpu/src/exec_op/add_op_b.vhd
139 set_location_assignment PIN_41 -to soft_res
140 set_global_assignment -name MISC_FILE /homes/c0725782/calu/dt/dt.dpf
141 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top