writeback_stage: differenzieren zwischen memory und extension geht ( btw wer sich...
[calu.git] / dt / dt.map.rpt
1 Analysis & Synthesis report for dt
2 Fri Dec 17 12:26:49 2010
3 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
4
5
6 ---------------------
7 ; Table of Contents ;
8 ---------------------
9   1. Legal Notice
10   2. Analysis & Synthesis Summary
11   3. Analysis & Synthesis Settings
12   4. Parallel Compilation
13   5. Analysis & Synthesis Source Files Read
14   6. Analysis & Synthesis Resource Usage Summary
15   7. Analysis & Synthesis Resource Utilization by Entity
16   8. Analysis & Synthesis RAM Summary
17   9. State Machine - |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state
18  10. State Machine - |core_top|decode_stage:decode_st|dec_op_inst.op_group
19  11. Registers Removed During Synthesis
20  12. Removed Registers Triggering Further Register Optimizations
21  13. General Register Statistics
22  14. Inverted Register Statistics
23  15. Registers Packed Into Inferred Megafunctions
24  16. Multiplexer Restructuring Statistics (Restructuring Performed)
25  17. Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated
26  18. Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated
27  19. Parameter Settings for User Entity Instance: fetch_stage:fetch_st
28  20. Parameter Settings for User Entity Instance: fetch_stage:fetch_st|r_w_ram:instruction_ram
29  21. Parameter Settings for User Entity Instance: decode_stage:decode_st
30  22. Parameter Settings for User Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram
31  23. Parameter Settings for User Entity Instance: execute_stage:exec_st
32  24. Parameter Settings for User Entity Instance: execute_stage:exec_st|extension_gpm:gpmp_inst
33  25. Parameter Settings for User Entity Instance: writeback_stage:writeback_st
34  26. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|r_w_ram:data_ram
35  27. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart
36  28. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst
37  29. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst
38  30. Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0
39  31. Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1
40  32. altsyncram Parameter Settings by Entity Instance
41  33. Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst"
42  34. Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart"
43  35. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst"
44  36. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst"
45  37. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst"
46  38. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst"
47  39. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst"
48  40. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst"
49  41. Port Connectivity Checks: "execute_stage:exec_st"
50  42. Port Connectivity Checks: "decode_stage:decode_st|decoder:decoder_inst"
51  43. Analysis & Synthesis Messages
52
53
54
55 ----------------
56 ; Legal Notice ;
57 ----------------
58 Copyright (C) 1991-2010 Altera Corporation
59 Your use of Altera Corporation's design tools, logic functions 
60 and other software and tools, and its AMPP partner logic 
61 functions, and any output files from any of the foregoing 
62 (including device programming or simulation files), and any 
63 associated documentation or information are expressly subject 
64 to the terms and conditions of the Altera Program License 
65 Subscription Agreement, Altera MegaCore Function License 
66 Agreement, or other applicable license agreement, including, 
67 without limitation, that your use is for the sole purpose of 
68 programming logic devices manufactured by Altera and sold by 
69 Altera or its authorized distributors.  Please refer to the 
70 applicable agreement for further details.
71
72
73
74 +-----------------------------------------------------------------------------+
75 ; Analysis & Synthesis Summary                                                ;
76 +-----------------------------+-----------------------------------------------+
77 ; Analysis & Synthesis Status ; Successful - Fri Dec 17 12:26:49 2010         ;
78 ; Quartus II Version          ; 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition ;
79 ; Revision Name               ; dt                                            ;
80 ; Top-level Entity Name       ; core_top                                      ;
81 ; Family                      ; Cyclone                                       ;
82 ; Total logic elements        ; 1,143                                         ;
83 ; Total pins                  ; 3                                             ;
84 ; Total virtual pins          ; 0                                             ;
85 ; Total memory bits           ; 512                                           ;
86 ; Total PLLs                  ; 0                                             ;
87 +-----------------------------+-----------------------------------------------+
88
89
90 +----------------------------------------------------------------------------------------------------------------------+
91 ; Analysis & Synthesis Settings                                                                                        ;
92 +----------------------------------------------------------------------------+--------------------+--------------------+
93 ; Option                                                                     ; Setting            ; Default Value      ;
94 +----------------------------------------------------------------------------+--------------------+--------------------+
95 ; Device                                                                     ; EP1C12Q240C8       ;                    ;
96 ; Top-level entity name                                                      ; core_top           ; dt                 ;
97 ; Family name                                                                ; Cyclone            ; Stratix II         ;
98 ; Use smart compilation                                                      ; Off                ; Off                ;
99 ; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On                 ; On                 ;
100 ; Enable compact report table                                                ; Off                ; Off                ;
101 ; Restructure Multiplexers                                                   ; Auto               ; Auto               ;
102 ; Create Debugging Nodes for IP Cores                                        ; Off                ; Off                ;
103 ; Preserve fewer node names                                                  ; On                 ; On                 ;
104 ; Disable OpenCore Plus hardware evaluation                                  ; Off                ; Off                ;
105 ; Verilog Version                                                            ; Verilog_2001       ; Verilog_2001       ;
106 ; VHDL Version                                                               ; VHDL_1993          ; VHDL_1993          ;
107 ; State Machine Processing                                                   ; Auto               ; Auto               ;
108 ; Safe State Machine                                                         ; Off                ; Off                ;
109 ; Extract Verilog State Machines                                             ; On                 ; On                 ;
110 ; Extract VHDL State Machines                                                ; On                 ; On                 ;
111 ; Ignore Verilog initial constructs                                          ; Off                ; Off                ;
112 ; Iteration limit for constant Verilog loops                                 ; 5000               ; 5000               ;
113 ; Iteration limit for non-constant Verilog loops                             ; 250                ; 250                ;
114 ; Add Pass-Through Logic to Inferred RAMs                                    ; On                 ; On                 ;
115 ; Parallel Synthesis                                                         ; On                 ; On                 ;
116 ; NOT Gate Push-Back                                                         ; On                 ; On                 ;
117 ; Power-Up Don't Care                                                        ; On                 ; On                 ;
118 ; Remove Redundant Logic Cells                                               ; Off                ; Off                ;
119 ; Remove Duplicate Registers                                                 ; On                 ; On                 ;
120 ; Ignore CARRY Buffers                                                       ; Off                ; Off                ;
121 ; Ignore CASCADE Buffers                                                     ; Off                ; Off                ;
122 ; Ignore GLOBAL Buffers                                                      ; Off                ; Off                ;
123 ; Ignore ROW GLOBAL Buffers                                                  ; Off                ; Off                ;
124 ; Ignore LCELL Buffers                                                       ; Off                ; Off                ;
125 ; Ignore SOFT Buffers                                                        ; On                 ; On                 ;
126 ; Limit AHDL Integers to 32 Bits                                             ; Off                ; Off                ;
127 ; Optimization Technique                                                     ; Balanced           ; Balanced           ;
128 ; Carry Chain Length                                                         ; 70                 ; 70                 ;
129 ; Auto Carry Chains                                                          ; On                 ; On                 ;
130 ; Auto Open-Drain Pins                                                       ; On                 ; On                 ;
131 ; Perform WYSIWYG Primitive Resynthesis                                      ; Off                ; Off                ;
132 ; Auto ROM Replacement                                                       ; On                 ; On                 ;
133 ; Auto RAM Replacement                                                       ; On                 ; On                 ;
134 ; Auto Shift Register Replacement                                            ; Auto               ; Auto               ;
135 ; Auto Clock Enable Replacement                                              ; On                 ; On                 ;
136 ; Strict RAM Replacement                                                     ; Off                ; Off                ;
137 ; Allow Synchronous Control Signals                                          ; On                 ; On                 ;
138 ; Force Use of Synchronous Clear Signals                                     ; Off                ; Off                ;
139 ; Auto RAM Block Balancing                                                   ; On                 ; On                 ;
140 ; Auto RAM to Logic Cell Conversion                                          ; Off                ; Off                ;
141 ; Auto Resource Sharing                                                      ; Off                ; Off                ;
142 ; Allow Any RAM Size For Recognition                                         ; Off                ; Off                ;
143 ; Allow Any ROM Size For Recognition                                         ; Off                ; Off                ;
144 ; Allow Any Shift Register Size For Recognition                              ; Off                ; Off                ;
145 ; Use LogicLock Constraints during Resource Balancing                        ; On                 ; On                 ;
146 ; Ignore translate_off and synthesis_off directives                          ; Off                ; Off                ;
147 ; Report Parameter Settings                                                  ; On                 ; On                 ;
148 ; Report Source Assignments                                                  ; On                 ; On                 ;
149 ; Report Connectivity Checks                                                 ; On                 ; On                 ;
150 ; Ignore Maximum Fan-Out Assignments                                         ; Off                ; Off                ;
151 ; Synchronization Register Chain Length                                      ; 2                  ; 2                  ;
152 ; PowerPlay Power Optimization                                               ; Normal compilation ; Normal compilation ;
153 ; HDL message level                                                          ; Level2             ; Level2             ;
154 ; Suppress Register Optimization Related Messages                            ; Off                ; Off                ;
155 ; Number of Removed Registers Reported in Synthesis Report                   ; 5000               ; 5000               ;
156 ; Number of Inverted Registers Reported in Synthesis Report                  ; 100                ; 100                ;
157 ; Clock MUX Protection                                                       ; On                 ; On                 ;
158 ; Block Design Naming                                                        ; Auto               ; Auto               ;
159 ; Synthesis Effort                                                           ; Auto               ; Auto               ;
160 ; Shift Register Replacement - Allow Asynchronous Clear Signal               ; On                 ; On                 ;
161 ; Analysis & Synthesis Message Level                                         ; Medium             ; Medium             ;
162 ; Disable Register Merging Across Hierarchies                                ; Auto               ; Auto               ;
163 ; Resource Aware Inference For Block RAM                                     ; On                 ; On                 ;
164 ; Synthesis Seed                                                             ; 1                  ; 1                  ;
165 +----------------------------------------------------------------------------+--------------------+--------------------+
166
167
168 Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
169 +-------------------------------------+
170 ; Parallel Compilation                ;
171 +----------------------------+--------+
172 ; Processors                 ; Number ;
173 +----------------------------+--------+
174 ; Number detected on machine ; 2      ;
175 ; Maximum allowed            ; 1      ;
176 +----------------------------+--------+
177
178
179 +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
180 ; Analysis & Synthesis Source Files Read                                                                                                                                              ;
181 +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+
182 ; File Name with User-Entered Path     ; Used in Netlist ; File Type                                             ; File Name with Absolute Path                                       ;
183 +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+
184 ; ../cpu/src/rs232_rx_arc.vhd          ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/rs232_rx_arc.vhd                      ;
185 ; ../cpu/src/rs232_rx.vhd              ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/rs232_rx.vhd                          ;
186 ; ../cpu/src/writeback_stage_b.vhd     ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/writeback_stage_b.vhd                 ;
187 ; ../cpu/src/writeback_stage.vhd       ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/writeback_stage.vhd                   ;
188 ; ../cpu/src/rs232_tx_arc.vhd          ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/rs232_tx_arc.vhd                      ;
189 ; ../cpu/src/rs232_tx.vhd              ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/rs232_tx.vhd                          ;
190 ; ../cpu/src/r_w_ram_b.vhd             ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/r_w_ram_b.vhd                         ;
191 ; ../cpu/src/r_w_ram.vhd               ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/r_w_ram.vhd                           ;
192 ; ../cpu/src/r2_w_ram_b.vhd            ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/r2_w_ram_b.vhd                        ;
193 ; ../cpu/src/r2_w_ram.vhd              ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/r2_w_ram.vhd                          ;
194 ; ../cpu/src/mem_pkg.vhd               ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/mem_pkg.vhd                           ;
195 ; ../cpu/src/fetch_stage_b.vhd         ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/fetch_stage_b.vhd                     ;
196 ; ../cpu/src/fetch_stage.vhd           ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/fetch_stage.vhd                       ;
197 ; ../cpu/src/extension_uart_pkg.vhd    ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension_uart_pkg.vhd                ;
198 ; ../cpu/src/extension_uart_b.vhd      ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension_uart_b.vhd                  ;
199 ; ../cpu/src/extension_uart.vhd        ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension_uart.vhd                    ;
200 ; ../cpu/src/extension_pkg.vhd         ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension_pkg.vhd                     ;
201 ; ../cpu/src/extension_b.vhd           ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension_b.vhd                       ;
202 ; ../cpu/src/extension.vhd             ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension.vhd                         ;
203 ; ../cpu/src/execute_stage_b.vhd       ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/execute_stage_b.vhd                   ;
204 ; ../cpu/src/execute_stage.vhd         ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/execute_stage.vhd                     ;
205 ; ../cpu/src/exec_op.vhd               ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op.vhd                           ;
206 ; ../cpu/src/decoder_b.vhd             ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/decoder_b.vhd                         ;
207 ; ../cpu/src/decoder.vhd               ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/decoder.vhd                           ;
208 ; ../cpu/src/decode_stage_b.vhd        ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/decode_stage_b.vhd                    ;
209 ; ../cpu/src/decode_stage.vhd          ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/decode_stage.vhd                      ;
210 ; ../cpu/src/core_top.vhd              ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/core_top.vhd                          ;
211 ; ../cpu/src/core_pkg.vhd              ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/core_pkg.vhd                          ;
212 ; ../cpu/src/common_pkg.vhd            ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/common_pkg.vhd                        ;
213 ; ../cpu/src/alu_pkg.vhd               ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/alu_pkg.vhd                           ;
214 ; ../cpu/src/alu_b.vhd                 ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/alu_b.vhd                             ;
215 ; ../cpu/src/alu.vhd                   ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/alu.vhd                               ;
216 ; ../cpu/src/exec_op/xor_op_b.vhd      ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op/xor_op_b.vhd                  ;
217 ; ../cpu/src/exec_op/shift_op_b.vhd    ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op/shift_op_b.vhd                ;
218 ; ../cpu/src/exec_op/or_op_b.vhd       ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op/or_op_b.vhd                   ;
219 ; ../cpu/src/exec_op/and_op_b.vhd      ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op/and_op_b.vhd                  ;
220 ; ../cpu/src/exec_op/add_op_b.vhd      ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op/add_op_b.vhd                  ;
221 ; altsyncram.tdf                       ; yes             ; Megafunction                                          ; /opt/altera/10.0sp1/quartus/libraries/megafunctions/altsyncram.tdf ;
222 ; db/altsyncram_emk1.tdf               ; yes             ; Auto-Generated Megafunction                           ; /homes/c0726283/calu/dt/db/altsyncram_emk1.tdf                     ;
223 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; yes             ; Auto-Generated Auto-Found Memory Initialization File  ; /homes/c0726283/calu/dt/db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif       ;
224 +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+
225
226
227 +-------------------------------------------------------+
228 ; Analysis & Synthesis Resource Usage Summary           ;
229 +---------------------------------------------+---------+
230 ; Resource                                    ; Usage   ;
231 +---------------------------------------------+---------+
232 ; Total logic elements                        ; 1143    ;
233 ;     -- Combinational with no register       ; 928     ;
234 ;     -- Register only                        ; 85      ;
235 ;     -- Combinational with a register        ; 130     ;
236 ;                                             ;         ;
237 ; Logic element usage by number of LUT inputs ;         ;
238 ;     -- 4 input functions                    ; 473     ;
239 ;     -- 3 input functions                    ; 443     ;
240 ;     -- 2 input functions                    ; 123     ;
241 ;     -- 1 input functions                    ; 18      ;
242 ;     -- 0 input functions                    ; 1       ;
243 ;                                             ;         ;
244 ; Logic elements by mode                      ;         ;
245 ;     -- normal mode                          ; 937     ;
246 ;     -- arithmetic mode                      ; 206     ;
247 ;     -- qfbk mode                            ; 0       ;
248 ;     -- register cascade mode                ; 0       ;
249 ;     -- synchronous clear/load mode          ; 7       ;
250 ;     -- asynchronous clear/load mode         ; 203     ;
251 ;                                             ;         ;
252 ; Total registers                             ; 215     ;
253 ; Total logic cells in carry chains           ; 214     ;
254 ; I/O pins                                    ; 3       ;
255 ; Total memory bits                           ; 512     ;
256 ; Maximum fan-out node                        ; sys_clk ;
257 ; Maximum fan-out                             ; 279     ;
258 ; Total fan-out                               ; 4464    ;
259 ; Average fan-out                             ; 3.69    ;
260 +---------------------------------------------+---------+
261
262
263 +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
264 ; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                                                                                            ;
265 +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+
266 ; Compilation Hierarchy Node                   ; Logic Cells ; LC Registers ; Memory Bits ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                                                                                        ; Library Name ;
267 +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+
268 ; |core_top                                    ; 1143 (1)    ; 215          ; 512         ; 3    ; 0            ; 928 (1)      ; 85 (0)            ; 130 (0)          ; 214 (0)         ; 0 (0)      ; |core_top                                                                                                  ;              ;
269 ;    |decode_stage:decode_st|                  ; 106 (99)    ; 72           ; 512         ; 0    ; 0            ; 34 (27)      ; 51 (51)           ; 21 (21)          ; 11 (11)         ; 0 (0)      ; |core_top|decode_stage:decode_st                                                                           ;              ;
270 ;       |decoder:decoder_inst|                 ; 7 (7)       ; 0            ; 0           ; 0    ; 0            ; 7 (7)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|decoder:decoder_inst                                                      ;              ;
271 ;       |r2_w_ram:register_ram|                ; 0 (0)       ; 0            ; 512         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram                                                     ;              ;
272 ;          |altsyncram:ram_rtl_0|              ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0                                ;              ;
273 ;             |altsyncram_emk1:auto_generated| ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated ;              ;
274 ;          |altsyncram:ram_rtl_1|              ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1                                ;              ;
275 ;             |altsyncram_emk1:auto_generated| ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated ;              ;
276 ;    |execute_stage:exec_st|                   ; 831 (185)   ; 67           ; 0           ; 0    ; 0            ; 764 (149)    ; 20 (1)            ; 47 (35)          ; 171 (0)         ; 0 (0)      ; |core_top|execute_stage:exec_st                                                                            ;              ;
277 ;       |alu:alu_inst|                         ; 581 (259)   ; 0            ; 0           ; 0    ; 0            ; 581 (259)    ; 0 (0)             ; 0 (0)            ; 141 (43)        ; 0 (0)      ; |core_top|execute_stage:exec_st|alu:alu_inst                                                               ;              ;
278 ;          |exec_op:add_inst|                  ; 100 (100)   ; 0            ; 0           ; 0    ; 0            ; 100 (100)    ; 0 (0)             ; 0 (0)            ; 98 (98)         ; 0 (0)      ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:add_inst                                              ;              ;
279 ;          |exec_op:or_inst|                   ; 14 (14)     ; 0            ; 0           ; 0    ; 0            ; 14 (14)      ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:or_inst                                               ;              ;
280 ;          |exec_op:shift_inst|                ; 208 (208)   ; 0            ; 0           ; 0    ; 0            ; 208 (208)    ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst                                            ;              ;
281 ;       |extension_gpm:gpmp_inst|              ; 65 (65)     ; 31           ; 0           ; 0    ; 0            ; 34 (34)      ; 19 (19)           ; 12 (12)          ; 30 (30)         ; 0 (0)      ; |core_top|execute_stage:exec_st|extension_gpm:gpmp_inst                                                    ;              ;
282 ;    |fetch_stage:fetch_st|                    ; 39 (30)     ; 17           ; 0           ; 0    ; 0            ; 22 (19)      ; 11 (11)           ; 6 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|fetch_stage:fetch_st                                                                             ;              ;
283 ;       |r_w_ram:instruction_ram|              ; 9 (9)       ; 6            ; 0           ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 6 (6)            ; 0 (0)           ; 0 (0)      ; |core_top|fetch_stage:fetch_st|r_w_ram:instruction_ram                                                     ;              ;
284 ;    |writeback_stage:writeback_st|            ; 166 (49)    ; 59           ; 0           ; 0    ; 0            ; 107 (45)     ; 3 (1)             ; 56 (3)           ; 32 (0)          ; 0 (0)      ; |core_top|writeback_stage:writeback_st                                                                     ;              ;
285 ;       |extension_uart:uart|                  ; 108 (14)    ; 49           ; 0           ; 0    ; 0            ; 59 (4)       ; 2 (2)             ; 47 (8)           ; 32 (0)          ; 0 (0)      ; |core_top|writeback_stage:writeback_st|extension_uart:uart                                                 ;              ;
286 ;          |rs232_tx:rs232_tx_inst|            ; 94 (94)     ; 39           ; 0           ; 0    ; 0            ; 55 (55)      ; 0 (0)             ; 39 (39)          ; 32 (32)         ; 0 (0)      ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst                          ;              ;
287 ;       |r_w_ram:data_ram|                     ; 9 (9)       ; 6            ; 0           ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 6 (6)            ; 0 (0)           ; 0 (0)      ; |core_top|writeback_stage:writeback_st|r_w_ram:data_ram                                                    ;              ;
288 +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+
289 Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
290
291
292 +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
293 ; Analysis & Synthesis RAM Summary                                                                                                                                                                                                                ;
294 +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+--------------------------------------+
295 ; Name                                                                                                        ; Type ; Mode             ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF                                  ;
296 +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+--------------------------------------+
297 ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 16           ; 32           ; 16           ; 32           ; 512  ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ;
298 ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 16           ; 32           ; 16           ; 32           ; 512  ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ;
299 +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+--------------------------------------+
300
301
302 Encoding Type:  One-Hot
303 +---------------------------------------------------------------------------------------------------------+
304 ; State Machine - |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state ;
305 +------------------+-----------------+-----------------+----------------+------------------+--------------+
306 ; Name             ; state.POST_STOP ; state.READ_STOP ; state.READ_BIT ; state.READ_START ; state.IDLE   ;
307 +------------------+-----------------+-----------------+----------------+------------------+--------------+
308 ; state.IDLE       ; 0               ; 0               ; 0              ; 0                ; 0            ;
309 ; state.READ_START ; 0               ; 0               ; 0              ; 1                ; 1            ;
310 ; state.READ_BIT   ; 0               ; 0               ; 1              ; 0                ; 1            ;
311 ; state.READ_STOP  ; 0               ; 1               ; 0              ; 0                ; 1            ;
312 ; state.POST_STOP  ; 1               ; 0               ; 0              ; 0                ; 1            ;
313 +------------------+-----------------+-----------------+----------------+------------------+--------------+
314
315
316 Encoding Type:  One-Hot
317 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
318 ; State Machine - |core_top|decode_stage:decode_st|dec_op_inst.op_group                                                                                                                                                                                                                  ;
319 +--------------------------------+--------------------------------+-----------------------------+------------------------------+-------------------------------+-----------------------------+----------------------------+-----------------------------+--------------------------------+
320 ; Name                           ; dec_op_inst.op_group.JMP_ST_OP ; dec_op_inst.op_group.JMP_OP ; dec_op_inst.op_group.LDST_OP ; dec_op_inst.op_group.SHIFT_OP ; dec_op_inst.op_group.XOR_OP ; dec_op_inst.op_group.OR_OP ; dec_op_inst.op_group.AND_OP ; dec_op_inst.op_group.ADDSUB_OP ;
321 +--------------------------------+--------------------------------+-----------------------------+------------------------------+-------------------------------+-----------------------------+----------------------------+-----------------------------+--------------------------------+
322 ; dec_op_inst.op_group.ADDSUB_OP ; 0                              ; 0                           ; 0                            ; 0                             ; 0                           ; 0                          ; 0                           ; 0                              ;
323 ; dec_op_inst.op_group.AND_OP    ; 0                              ; 0                           ; 0                            ; 0                             ; 0                           ; 0                          ; 1                           ; 1                              ;
324 ; dec_op_inst.op_group.OR_OP     ; 0                              ; 0                           ; 0                            ; 0                             ; 0                           ; 1                          ; 0                           ; 1                              ;
325 ; dec_op_inst.op_group.XOR_OP    ; 0                              ; 0                           ; 0                            ; 0                             ; 1                           ; 0                          ; 0                           ; 1                              ;
326 ; dec_op_inst.op_group.SHIFT_OP  ; 0                              ; 0                           ; 0                            ; 1                             ; 0                           ; 0                          ; 0                           ; 1                              ;
327 ; dec_op_inst.op_group.LDST_OP   ; 0                              ; 0                           ; 1                            ; 0                             ; 0                           ; 0                          ; 0                           ; 1                              ;
328 ; dec_op_inst.op_group.JMP_OP    ; 0                              ; 1                           ; 0                            ; 0                             ; 0                           ; 0                          ; 0                           ; 1                              ;
329 ; dec_op_inst.op_group.JMP_ST_OP ; 1                              ; 0                           ; 0                            ; 0                             ; 0                           ; 0                          ; 0                           ; 1                              ;
330 +--------------------------------+--------------------------------+-----------------------------+------------------------------+-------------------------------+-----------------------------+----------------------------+-----------------------------+--------------------------------+
331
332
333 +-------------------------------------------------------------------------------------------------------------------------------------------------------------------+
334 ; Registers Removed During Synthesis                                                                                                                                ;
335 +------------------------------------------------------------------------------------------+------------------------------------------------------------------------+
336 ; Register name                                                                            ; Reason for Removal                                                     ;
337 +------------------------------------------------------------------------------------------+------------------------------------------------------------------------+
338 ; writeback_stage:writeback_st|bus_rx                                                      ; Stuck at VCC due to stuck port data_in                                 ;
339 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|bus_rx_int       ; Lost fanout                                                            ;
340 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[29..31]                           ; Stuck at VCC due to stuck port data_in                                 ;
341 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[28]                               ; Stuck at GND due to stuck port data_in                                 ;
342 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[24]                               ; Stuck at VCC due to stuck port data_in                                 ;
343 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[0,2,5,8,10..15,17..18,20,22]      ; Stuck at GND due to stuck port data_in                                 ;
344 ; decode_stage:decode_st|dec_op_inst.prog_cnt[11..31]                                      ; Stuck at GND due to stuck port data_in                                 ;
345 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29..31]                            ; Stuck at VCC due to stuck port data_in                                 ;
346 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[28]                                ; Stuck at GND due to stuck port data_in                                 ;
347 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24]                                ; Stuck at VCC due to stuck port data_in                                 ;
348 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0,2,5,8,10..15,17..18,20,22]       ; Stuck at GND due to stuck port data_in                                 ;
349 ; writeback_stage:writeback_st|wb_reg.hword                                                ; Stuck at GND due to stuck port data_in                                 ;
350 ; writeback_stage:writeback_st|wb_reg.byte_s                                               ; Stuck at GND due to stuck port data_in                                 ;
351 ; decode_stage:decode_st|dec_op_inst.condition[1..3]                                       ; Stuck at VCC due to stuck port data_in                                 ;
352 ; decode_stage:decode_st|dec_op_inst.op_detail[5]                                          ; Stuck at GND due to stuck port data_in                                 ;
353 ; decode_stage:decode_st|dec_op_inst.displacement[0,2,5,8,10..31]                          ; Stuck at GND due to stuck port data_in                                 ;
354 ; decode_stage:decode_st|dec_op_inst.saddr1[3]                                             ; Stuck at GND due to stuck port data_in                                 ;
355 ; decode_stage:decode_st|dec_op_inst.saddr2[1,3]                                           ; Stuck at GND due to stuck port data_in                                 ;
356 ; decode_stage:decode_st|dec_op_inst.daddr[1,3]                                            ; Stuck at GND due to stuck port data_in                                 ;
357 ; execute_stage:exec_st|reg.res_addr[1,3]                                                  ; Stuck at GND due to stuck port data_in                                 ;
358 ; decode_stage:decode_st|rtw_rec.immediate[5,7..8,10..11,15]                               ; Stuck at GND due to stuck port data_in                                 ;
359 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                            ; Lost fanout                                                            ;
360 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo                            ; Lost fanout                                                            ;
361 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign                            ; Lost fanout                                                            ;
362 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][29]                            ; Lost fanout                                                            ;
363 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][28]                            ; Lost fanout                                                            ;
364 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][27]                            ; Lost fanout                                                            ;
365 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][26]                            ; Lost fanout                                                            ;
366 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][25]                            ; Lost fanout                                                            ;
367 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][24]                            ; Lost fanout                                                            ;
368 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][23]                            ; Lost fanout                                                            ;
369 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][22]                            ; Lost fanout                                                            ;
370 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][21]                            ; Lost fanout                                                            ;
371 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][20]                            ; Lost fanout                                                            ;
372 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][19]                            ; Lost fanout                                                            ;
373 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][18]                            ; Lost fanout                                                            ;
374 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][17]                            ; Lost fanout                                                            ;
375 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][16]                            ; Lost fanout                                                            ;
376 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][15]                            ; Lost fanout                                                            ;
377 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][14]                            ; Lost fanout                                                            ;
378 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][13]                            ; Lost fanout                                                            ;
379 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][12]                            ; Lost fanout                                                            ;
380 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][11]                            ; Lost fanout                                                            ;
381 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][10]                            ; Lost fanout                                                            ;
382 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][9]                             ; Lost fanout                                                            ;
383 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][8]                             ; Lost fanout                                                            ;
384 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][7]                             ; Lost fanout                                                            ;
385 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][6]                             ; Lost fanout                                                            ;
386 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][5]                             ; Lost fanout                                                            ;
387 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][4]                             ; Lost fanout                                                            ;
388 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][3]                             ; Lost fanout                                                            ;
389 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][2]                             ; Lost fanout                                                            ;
390 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][1]                             ; Lost fanout                                                            ;
391 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][0]                             ; Lost fanout                                                            ;
392 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][29]                            ; Lost fanout                                                            ;
393 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][28]                            ; Lost fanout                                                            ;
394 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][27]                            ; Lost fanout                                                            ;
395 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][26]                            ; Lost fanout                                                            ;
396 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][25]                            ; Lost fanout                                                            ;
397 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][24]                            ; Lost fanout                                                            ;
398 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][23]                            ; Lost fanout                                                            ;
399 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][22]                            ; Lost fanout                                                            ;
400 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][21]                            ; Lost fanout                                                            ;
401 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][20]                            ; Lost fanout                                                            ;
402 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][19]                            ; Lost fanout                                                            ;
403 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][18]                            ; Lost fanout                                                            ;
404 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][17]                            ; Lost fanout                                                            ;
405 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][16]                            ; Lost fanout                                                            ;
406 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][15]                            ; Lost fanout                                                            ;
407 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][14]                            ; Lost fanout                                                            ;
408 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][13]                            ; Lost fanout                                                            ;
409 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][12]                            ; Lost fanout                                                            ;
410 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][11]                            ; Lost fanout                                                            ;
411 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][10]                            ; Lost fanout                                                            ;
412 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][9]                             ; Lost fanout                                                            ;
413 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][8]                             ; Lost fanout                                                            ;
414 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][7]                             ; Lost fanout                                                            ;
415 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][6]                             ; Lost fanout                                                            ;
416 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][5]                             ; Lost fanout                                                            ;
417 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][4]                             ; Lost fanout                                                            ;
418 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][3]                             ; Lost fanout                                                            ;
419 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][2]                             ; Lost fanout                                                            ;
420 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][1]                             ; Lost fanout                                                            ;
421 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][0]                             ; Lost fanout                                                            ;
422 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][29]                            ; Lost fanout                                                            ;
423 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][28]                            ; Lost fanout                                                            ;
424 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][27]                            ; Lost fanout                                                            ;
425 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][26]                            ; Lost fanout                                                            ;
426 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][25]                            ; Lost fanout                                                            ;
427 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][24]                            ; Lost fanout                                                            ;
428 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][23]                            ; Lost fanout                                                            ;
429 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][22]                            ; Lost fanout                                                            ;
430 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][21]                            ; Lost fanout                                                            ;
431 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][20]                            ; Lost fanout                                                            ;
432 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][19]                            ; Lost fanout                                                            ;
433 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][18]                            ; Lost fanout                                                            ;
434 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][17]                            ; Lost fanout                                                            ;
435 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][16]                            ; Lost fanout                                                            ;
436 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][15]                            ; Lost fanout                                                            ;
437 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][14]                            ; Lost fanout                                                            ;
438 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][13]                            ; Lost fanout                                                            ;
439 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][12]                            ; Lost fanout                                                            ;
440 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][11]                            ; Lost fanout                                                            ;
441 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][10]                            ; Lost fanout                                                            ;
442 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][9]                             ; Lost fanout                                                            ;
443 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][8]                             ; Lost fanout                                                            ;
444 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][7]                             ; Lost fanout                                                            ;
445 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][6]                             ; Lost fanout                                                            ;
446 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][5]                             ; Lost fanout                                                            ;
447 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][4]                             ; Lost fanout                                                            ;
448 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][3]                             ; Lost fanout                                                            ;
449 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][2]                             ; Lost fanout                                                            ;
450 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][1]                             ; Lost fanout                                                            ;
451 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][0]                             ; Lost fanout                                                            ;
452 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[23]                               ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ;
453 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[1]                                ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ;
454 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[4,6]                              ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ;
455 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[19]                               ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ;
456 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[3]                                ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16] ;
457 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[7]                                ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9]  ;
458 ; decode_stage:decode_st|dec_op_inst.op_detail[0]                                          ; Merged with decode_stage:decode_st|rtw_rec.imm_set                     ;
459 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[23]                                ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27]  ;
460 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[1]                                 ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26]  ;
461 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[4,6]                               ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25]  ;
462 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[19]                                ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21]  ;
463 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[3]                                 ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[16]  ;
464 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[7]                                 ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9]   ;
465 ; decode_stage:decode_st|dec_op_inst.daddr[2]                                              ; Lost fanout                                                            ;
466 ; execute_stage:exec_st|reg.res_addr[0]                                                    ; Merged with execute_stage:exec_st|reg.res_addr[2]                      ;
467 ; decode_stage:decode_st|rtw_rec.immediate[18,21,23..28,30]                                ; Merged with decode_stage:decode_st|rtw_rec.immediate[31]               ;
468 ; decode_stage:decode_st|rtw_rec.immediate[16]                                             ; Merged with decode_stage:decode_st|rtw_rec.immediate[29]               ;
469 ; decode_stage:decode_st|rtw_rec.immediate[20]                                             ; Merged with decode_stage:decode_st|rtw_rec.immediate[22]               ;
470 ; decode_stage:decode_st|rtw_rec.immediate[17]                                             ; Merged with decode_stage:decode_st|rtw_rec.immediate[19]               ;
471 ; decode_stage:decode_st|rtw_rec.immediate[12]                                             ; Merged with decode_stage:decode_st|rtw_rec.immediate[14]               ;
472 ; decode_stage:decode_st|rtw_rec.immediate[1]                                              ; Merged with decode_stage:decode_st|rtw_rec.immediate[3]                ;
473 ; decode_stage:decode_st|dec_op_inst.displacement[7]                                       ; Merged with decode_stage:decode_st|dec_op_inst.displacement[9]         ;
474 ; decode_stage:decode_st|dec_op_inst.displacement[4]                                       ; Merged with decode_stage:decode_st|dec_op_inst.displacement[6]         ;
475 ; decode_stage:decode_st|dec_op_inst.saddr1[0]                                             ; Merged with decode_stage:decode_st|dec_op_inst.saddr1[2]               ;
476 ; decode_stage:decode_st|dec_op_inst.saddr2[0]                                             ; Merged with decode_stage:decode_st|dec_op_inst.saddr2[2]               ;
477 ; decode_stage:decode_st|dec_op_inst.op_detail[1]                                          ; Merged with decode_stage:decode_st|dec_op_inst.op_detail[2]            ;
478 ; decode_stage:decode_st|rtw_rec.immediate[19,22,29]                                       ; Merged with decode_stage:decode_st|rtw_rec.immediate[31]               ;
479 ; decode_stage:decode_st|dec_op_inst.op_group.AND_OP                                       ; Lost fanout                                                            ;
480 ; decode_stage:decode_st|dec_op_inst.op_group.XOR_OP                                       ; Lost fanout                                                            ;
481 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[0..31]  ; Lost fanout                                                            ;
482 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[0..31]       ; Lost fanout                                                            ;
483 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.IDLE       ; Lost fanout                                                            ;
484 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_START ; Lost fanout                                                            ;
485 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_BIT   ; Lost fanout                                                            ;
486 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_STOP  ; Lost fanout                                                            ;
487 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.POST_STOP  ; Lost fanout                                                            ;
488 ; decode_stage:decode_st|rtw_rec.immediate[31]                                             ; Merged with decode_stage:decode_st|dec_op_inst.op_group.OR_OP          ;
489 ; decode_stage:decode_st|rtw_rec.immediate[9]                                              ; Merged with decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP      ;
490 ; fetch_stage:fetch_st|instr_r_addr[11..31]                                                ; Lost fanout                                                            ;
491 ; Total Number of Removed Registers = 332                                                  ;                                                                        ;
492 +------------------------------------------------------------------------------------------+------------------------------------------------------------------------+
493
494
495 +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
496 ; Removed Registers Triggering Further Register Optimizations                                                                                                                   ;
497 +-----------------------------------------------------------+---------------------------+---------------------------------------------------------------------------------------+
498 ; Register name                                             ; Reason for Removal        ; Registers Removed due to This Register                                                ;
499 +-----------------------------------------------------------+---------------------------+---------------------------------------------------------------------------------------+
500 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24] ; Stuck at VCC              ; decode_stage:decode_st|dec_op_inst.op_detail[5],                                      ;
501 ;                                                           ; due to stuck port data_in ; decode_stage:decode_st|dec_op_inst.saddr1[3],                                         ;
502 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.saddr2[3],                                         ;
503 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.saddr2[1],                                         ;
504 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[15],                                         ;
505 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[11],                                         ;
506 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[10],                                         ;
507 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[8],                                          ;
508 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[7],                                          ;
509 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[5]                                           ;
510 ; writeback_stage:writeback_st|bus_rx                       ; Stuck at VCC              ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[31], ;
511 ;                                                           ; due to stuck port data_in ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[30], ;
512 ;                                                           ;                           ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[29], ;
513 ;                                                           ;                           ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[28], ;
514 ;                                                           ;                           ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[27], ;
515 ;                                                           ;                           ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[26], ;
516 ;                                                           ;                           ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[25], ;
517 ;                                                           ;                           ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[24]  ;
518 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31] ; Stuck at VCC              ; decode_stage:decode_st|dec_op_inst.condition[3],                                      ;
519 ;                                                           ; due to stuck port data_in ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo,                        ;
520 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign                         ;
521 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[22] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.daddr[3], execute_stage:exec_st|reg.res_addr[3]    ;
522 ;                                                           ; due to stuck port data_in ;                                                                                       ;
523 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[20] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.daddr[1], execute_stage:exec_st|reg.res_addr[1]    ;
524 ;                                                           ; due to stuck port data_in ;                                                                                       ;
525 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[30] ; Stuck at VCC              ; decode_stage:decode_st|dec_op_inst.condition[2]                                       ;
526 ;                                                           ; due to stuck port data_in ;                                                                                       ;
527 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29] ; Stuck at VCC              ; decode_stage:decode_st|dec_op_inst.condition[1]                                       ;
528 ;                                                           ; due to stuck port data_in ;                                                                                       ;
529 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[14] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[14]                                   ;
530 ;                                                           ; due to stuck port data_in ;                                                                                       ;
531 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[13] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[13]                                   ;
532 ;                                                           ; due to stuck port data_in ;                                                                                       ;
533 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[12] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[12]                                   ;
534 ;                                                           ; due to stuck port data_in ;                                                                                       ;
535 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[11] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[11]                                   ;
536 ;                                                           ; due to stuck port data_in ;                                                                                       ;
537 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[10] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[10]                                   ;
538 ;                                                           ; due to stuck port data_in ;                                                                                       ;
539 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[8]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[8]                                    ;
540 ;                                                           ; due to stuck port data_in ;                                                                                       ;
541 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[5]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[5]                                    ;
542 ;                                                           ; due to stuck port data_in ;                                                                                       ;
543 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[2]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[2]                                    ;
544 ;                                                           ; due to stuck port data_in ;                                                                                       ;
545 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[0]                                    ;
546 ;                                                           ; due to stuck port data_in ;                                                                                       ;
547 +-----------------------------------------------------------+---------------------------+---------------------------------------------------------------------------------------+
548
549
550 +------------------------------------------------------+
551 ; General Register Statistics                          ;
552 +----------------------------------------------+-------+
553 ; Statistic                                    ; Value ;
554 +----------------------------------------------+-------+
555 ; Total registers                              ; 215   ;
556 ; Number of registers using Synchronous Clear  ; 4     ;
557 ; Number of registers using Synchronous Load   ; 6     ;
558 ; Number of registers using Asynchronous Clear ; 192   ;
559 ; Number of registers using Asynchronous Load  ; 11    ;
560 ; Number of registers using Clock Enable       ; 43    ;
561 ; Number of registers using Preset             ; 0     ;
562 +----------------------------------------------+-------+
563
564
565 +------------------------------------------------------------------------------------------------+
566 ; Inverted Register Statistics                                                                   ;
567 +--------------------------------------------------------------------------------------+---------+
568 ; Inverted Register                                                                    ; Fan out ;
569 +--------------------------------------------------------------------------------------+---------+
570 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int   ; 1       ;
571 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[23] ; 2       ;
572 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[22] ; 2       ;
573 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[21] ; 2       ;
574 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[20] ; 2       ;
575 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[19] ; 2       ;
576 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[16] ; 2       ;
577 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[13] ; 2       ;
578 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[11] ; 2       ;
579 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[10] ; 2       ;
580 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[8]  ; 2       ;
581 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[4]  ; 2       ;
582 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1]                         ; 2       ;
583 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3]                         ; 2       ;
584 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6]                         ; 2       ;
585 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2]                         ; 2       ;
586 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5]                         ; 2       ;
587 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7]                         ; 2       ;
588 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4]                         ; 2       ;
589 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9]                         ; 2       ;
590 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10]                        ; 2       ;
591 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8]                         ; 2       ;
592 ; decode_stage:decode_st|dec_op_inst.condition[0]                                      ; 1       ;
593 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0]                         ; 4       ;
594 ; Total number of inverted registers = 24                                              ;         ;
595 +--------------------------------------------------------------------------------------+---------+
596
597
598 +-------------------------------------------------------------------------------------------------------------------------+
599 ; Registers Packed Into Inferred Megafunctions                                                                            ;
600 +------------------------------------------------------------+-----------------------------------------------------+------+
601 ; Register Name                                              ; Megafunction                                        ; Type ;
602 +------------------------------------------------------------+-----------------------------------------------------+------+
603 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[0]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
604 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[1]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
605 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[2]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
606 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[3]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
607 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[4]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
608 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[5]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
609 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[6]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
610 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[7]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
611 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[8]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
612 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[9]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
613 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[10] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
614 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[11] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
615 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[12] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
616 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[13] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
617 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[14] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
618 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[15] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
619 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[16] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
620 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[17] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
621 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[18] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
622 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[19] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
623 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[20] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
624 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[21] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
625 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[22] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
626 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[23] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
627 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[24] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
628 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[25] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
629 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[26] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
630 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[27] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
631 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[28] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
632 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[29] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
633 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[30] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
634 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[31] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
635 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[0]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
636 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[1]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
637 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[2]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
638 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[3]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
639 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[4]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
640 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[5]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
641 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[6]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
642 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[7]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
643 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[8]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
644 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[9]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
645 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[10] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
646 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[11] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
647 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[12] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
648 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[13] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
649 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[14] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
650 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[15] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
651 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[16] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
652 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[17] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
653 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[18] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
654 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[19] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
655 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[20] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
656 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[21] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
657 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[22] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
658 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[23] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
659 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[24] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
660 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[25] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
661 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[26] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
662 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[27] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
663 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[28] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
664 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[29] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
665 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[30] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
666 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[31] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
667 +------------------------------------------------------------+-----------------------------------------------------+------+
668
669
670 +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
671 ; Multiplexer Restructuring Statistics (Restructuring Performed)                                                                                                                                               ;
672 +--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+
673 ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output                                                                     ;
674 +--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+
675 ; 3:1                ; 21 bits   ; 42 LEs        ; 21 LEs               ; 21 LEs                 ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[25] ;
676 ; 3:1                ; 4 bits    ; 8 LEs         ; 4 LEs                ; 4 LEs                  ; Yes        ; |core_top|decode_stage:decode_st|dec_op_inst.displacement[1]                                   ;
677 ; 5:1                ; 7 bits    ; 21 LEs        ; 14 LEs               ; 7 LEs                  ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1]                     ;
678 ; 5:1                ; 14 bits   ; 42 LEs        ; 28 LEs               ; 14 LEs                 ; Yes        ; |core_top|fetch_stage:fetch_st|instr_r_addr[31]                                                ;
679 ; 5:1                ; 7 bits    ; 21 LEs        ; 14 LEs               ; 7 LEs                  ; Yes        ; |core_top|fetch_stage:fetch_st|instr_r_addr[19]                                                ;
680 ; 18:1               ; 3 bits    ; 36 LEs        ; 3 LEs                ; 33 LEs                 ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[1]       ;
681 ; 5:1                ; 32 bits   ; 96 LEs        ; 32 LEs               ; 64 LEs                 ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[1]  ;
682 ; 9:1                ; 5 bits    ; 30 LEs        ; 25 LEs               ; 5 LEs                  ; Yes        ; |core_top|execute_stage:exec_st|reg.result[7]                                                  ;
683 ; 9:1                ; 13 bits   ; 78 LEs        ; 65 LEs               ; 13 LEs                 ; Yes        ; |core_top|execute_stage:exec_st|reg.result[21]                                                 ;
684 ; 10:1               ; 4 bits    ; 24 LEs        ; 24 LEs               ; 0 LEs                  ; Yes        ; |core_top|execute_stage:exec_st|reg.result[4]                                                  ;
685 ; 10:1               ; 4 bits    ; 24 LEs        ; 24 LEs               ; 0 LEs                  ; Yes        ; |core_top|execute_stage:exec_st|reg.result[25]                                                 ;
686 ; 11:1               ; 2 bits    ; 14 LEs        ; 12 LEs               ; 2 LEs                  ; Yes        ; |core_top|execute_stage:exec_st|reg.result[1]                                                  ;
687 ; 11:1               ; 2 bits    ; 14 LEs        ; 12 LEs               ; 2 LEs                  ; Yes        ; |core_top|execute_stage:exec_st|reg.result[29]                                                 ;
688 ; 3:1                ; 11 bits   ; 22 LEs        ; 22 LEs               ; 0 LEs                  ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[23] ;
689 ; 3:1                ; 32 bits   ; 64 LEs        ; 64 LEs               ; 0 LEs                  ; No         ; |core_top|execute_stage:exec_st|left_operand[19]                                               ;
690 ; 4:1                ; 3 bits    ; 6 LEs         ; 6 LEs                ; 0 LEs                  ; No         ; |core_top|decode_stage:decode_st|decoder:decoder_inst|instr_s                                  ;
691 ; 4:1                ; 6 bits    ; 12 LEs        ; 12 LEs               ; 0 LEs                  ; No         ; |core_top|execute_stage:exec_st|right_operand[10]                                              ;
692 ; 4:1                ; 26 bits   ; 52 LEs        ; 52 LEs               ; 0 LEs                  ; No         ; |core_top|execute_stage:exec_st|right_operand[30]                                              ;
693 ; 4:1                ; 30 bits   ; 60 LEs        ; 60 LEs               ; 0 LEs                  ; No         ; |core_top|execute_stage:exec_st|alu:alu_inst|Selector48                                        ;
694 ; 5:1                ; 5 bits    ; 15 LEs        ; 10 LEs               ; 5 LEs                  ; No         ; |core_top|fetch_stage:fetch_st|instr_r_addr_nxt[0]                                             ;
695 ; 5:1                ; 6 bits    ; 18 LEs        ; 12 LEs               ; 6 LEs                  ; No         ; |core_top|fetch_stage:fetch_st|instr_r_addr_nxt[6]                                             ;
696 ; 4:1                ; 11 bits   ; 22 LEs        ; 11 LEs               ; 11 LEs                 ; No         ; |core_top|execute_stage:exec_st|alu:alu_inst|Selector97                                        ;
697 ; 6:1                ; 3 bits    ; 12 LEs        ; 6 LEs                ; 6 LEs                  ; No         ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|Selector0    ;
698 ; 6:1                ; 2 bits    ; 8 LEs         ; 4 LEs                ; 4 LEs                  ; No         ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|Selector2    ;
699 +--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+
700
701
702 +-------------------------------------------------------------------------------------------------------------------------+
703 ; Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated ;
704 +---------------------------------+--------------------+------+-----------------------------------------------------------+
705 ; Assignment                      ; Value              ; From ; To                                                        ;
706 +---------------------------------+--------------------+------+-----------------------------------------------------------+
707 ; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; -    ; -                                                         ;
708 +---------------------------------+--------------------+------+-----------------------------------------------------------+
709
710
711 +-------------------------------------------------------------------------------------------------------------------------+
712 ; Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated ;
713 +---------------------------------+--------------------+------+-----------------------------------------------------------+
714 ; Assignment                      ; Value              ; From ; To                                                        ;
715 +---------------------------------+--------------------+------+-----------------------------------------------------------+
716 ; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; -    ; -                                                         ;
717 +---------------------------------+--------------------+------+-----------------------------------------------------------+
718
719
720 +-------------------------------------------------------------------+
721 ; Parameter Settings for User Entity Instance: fetch_stage:fetch_st ;
722 +----------------+-------+------------------------------------------+
723 ; Parameter Name ; Value ; Type                                     ;
724 +----------------+-------+------------------------------------------+
725 ; reset_value    ; '0'   ; Enumerated                               ;
726 ; logic_act      ; '1'   ; Enumerated                               ;
727 +----------------+-------+------------------------------------------+
728 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
729
730
731 +-------------------------------------------------------------------------------------------+
732 ; Parameter Settings for User Entity Instance: fetch_stage:fetch_st|r_w_ram:instruction_ram ;
733 +----------------+-------+------------------------------------------------------------------+
734 ; Parameter Name ; Value ; Type                                                             ;
735 +----------------+-------+------------------------------------------------------------------+
736 ; addr_width     ; 11    ; Signed Integer                                                   ;
737 ; data_width     ; 32    ; Signed Integer                                                   ;
738 +----------------+-------+------------------------------------------------------------------+
739 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
740
741
742 +---------------------------------------------------------------------+
743 ; Parameter Settings for User Entity Instance: decode_stage:decode_st ;
744 +----------------+-------+--------------------------------------------+
745 ; Parameter Name ; Value ; Type                                       ;
746 +----------------+-------+--------------------------------------------+
747 ; reset_value    ; '0'   ; Enumerated                                 ;
748 ; logic_act      ; '1'   ; Enumerated                                 ;
749 +----------------+-------+--------------------------------------------+
750 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
751
752
753 +-------------------------------------------------------------------------------------------+
754 ; Parameter Settings for User Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram ;
755 +----------------+-------+------------------------------------------------------------------+
756 ; Parameter Name ; Value ; Type                                                             ;
757 +----------------+-------+------------------------------------------------------------------+
758 ; addr_width     ; 4     ; Signed Integer                                                   ;
759 ; data_width     ; 32    ; Signed Integer                                                   ;
760 +----------------+-------+------------------------------------------------------------------+
761 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
762
763
764 +--------------------------------------------------------------------+
765 ; Parameter Settings for User Entity Instance: execute_stage:exec_st ;
766 +----------------+-------+-------------------------------------------+
767 ; Parameter Name ; Value ; Type                                      ;
768 +----------------+-------+-------------------------------------------+
769 ; reset_value    ; '0'   ; Enumerated                                ;
770 +----------------+-------+-------------------------------------------+
771 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
772
773
774 +--------------------------------------------------------------------------------------------+
775 ; Parameter Settings for User Entity Instance: execute_stage:exec_st|extension_gpm:gpmp_inst ;
776 +----------------+-------+-------------------------------------------------------------------+
777 ; Parameter Name ; Value ; Type                                                              ;
778 +----------------+-------+-------------------------------------------------------------------+
779 ; reset_value    ; '0'   ; Enumerated                                                        ;
780 +----------------+-------+-------------------------------------------------------------------+
781 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
782
783
784 +---------------------------------------------------------------------------+
785 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st ;
786 +----------------+-------+--------------------------------------------------+
787 ; Parameter Name ; Value ; Type                                             ;
788 +----------------+-------+--------------------------------------------------+
789 ; reset_value    ; '0'   ; Enumerated                                       ;
790 ; logic_act      ; '1'   ; Enumerated                                       ;
791 +----------------+-------+--------------------------------------------------+
792 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
793
794
795 +--------------------------------------------------------------------------------------------+
796 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st|r_w_ram:data_ram ;
797 +----------------+-------+-------------------------------------------------------------------+
798 ; Parameter Name ; Value ; Type                                                              ;
799 +----------------+-------+-------------------------------------------------------------------+
800 ; addr_width     ; 11    ; Signed Integer                                                    ;
801 ; data_width     ; 32    ; Signed Integer                                                    ;
802 +----------------+-------+-------------------------------------------------------------------+
803 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
804
805
806 +-----------------------------------------------------------------------------------------------+
807 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart ;
808 +----------------+-------+----------------------------------------------------------------------+
809 ; Parameter Name ; Value ; Type                                                                 ;
810 +----------------+-------+----------------------------------------------------------------------+
811 ; reset_value    ; '0'   ; Enumerated                                                           ;
812 +----------------+-------+----------------------------------------------------------------------+
813 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
814
815
816 +----------------------------------------------------------------------------------------------------------------------+
817 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst ;
818 +----------------+-------+---------------------------------------------------------------------------------------------+
819 ; Parameter Name ; Value ; Type                                                                                        ;
820 +----------------+-------+---------------------------------------------------------------------------------------------+
821 ; reset_value    ; '0'   ; Enumerated                                                                                  ;
822 +----------------+-------+---------------------------------------------------------------------------------------------+
823 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
824
825
826 +----------------------------------------------------------------------------------------------------------------------+
827 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst ;
828 +----------------+-------+---------------------------------------------------------------------------------------------+
829 ; Parameter Name ; Value ; Type                                                                                        ;
830 +----------------+-------+---------------------------------------------------------------------------------------------+
831 ; reset_value    ; '0'   ; Enumerated                                                                                  ;
832 +----------------+-------+---------------------------------------------------------------------------------------------+
833 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
834
835
836 +--------------------------------------------------------------------------------------------------------------------+
837 ; Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ;
838 +------------------------------------+--------------------------------------+----------------------------------------+
839 ; Parameter Name                     ; Value                                ; Type                                   ;
840 +------------------------------------+--------------------------------------+----------------------------------------+
841 ; BYTE_SIZE_BLOCK                    ; 8                                    ; Untyped                                ;
842 ; AUTO_CARRY_CHAINS                  ; ON                                   ; AUTO_CARRY                             ;
843 ; IGNORE_CARRY_BUFFERS               ; OFF                                  ; IGNORE_CARRY                           ;
844 ; AUTO_CASCADE_CHAINS                ; ON                                   ; AUTO_CASCADE                           ;
845 ; IGNORE_CASCADE_BUFFERS             ; OFF                                  ; IGNORE_CASCADE                         ;
846 ; WIDTH_BYTEENA                      ; 1                                    ; Untyped                                ;
847 ; OPERATION_MODE                     ; DUAL_PORT                            ; Untyped                                ;
848 ; WIDTH_A                            ; 32                                   ; Untyped                                ;
849 ; WIDTHAD_A                          ; 4                                    ; Untyped                                ;
850 ; NUMWORDS_A                         ; 16                                   ; Untyped                                ;
851 ; OUTDATA_REG_A                      ; UNREGISTERED                         ; Untyped                                ;
852 ; ADDRESS_ACLR_A                     ; NONE                                 ; Untyped                                ;
853 ; OUTDATA_ACLR_A                     ; NONE                                 ; Untyped                                ;
854 ; WRCONTROL_ACLR_A                   ; NONE                                 ; Untyped                                ;
855 ; INDATA_ACLR_A                      ; NONE                                 ; Untyped                                ;
856 ; BYTEENA_ACLR_A                     ; NONE                                 ; Untyped                                ;
857 ; WIDTH_B                            ; 32                                   ; Untyped                                ;
858 ; WIDTHAD_B                          ; 4                                    ; Untyped                                ;
859 ; NUMWORDS_B                         ; 16                                   ; Untyped                                ;
860 ; INDATA_REG_B                       ; CLOCK1                               ; Untyped                                ;
861 ; WRCONTROL_WRADDRESS_REG_B          ; CLOCK1                               ; Untyped                                ;
862 ; RDCONTROL_REG_B                    ; CLOCK1                               ; Untyped                                ;
863 ; ADDRESS_REG_B                      ; CLOCK0                               ; Untyped                                ;
864 ; OUTDATA_REG_B                      ; UNREGISTERED                         ; Untyped                                ;
865 ; BYTEENA_REG_B                      ; CLOCK1                               ; Untyped                                ;
866 ; INDATA_ACLR_B                      ; NONE                                 ; Untyped                                ;
867 ; WRCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
868 ; ADDRESS_ACLR_B                     ; NONE                                 ; Untyped                                ;
869 ; OUTDATA_ACLR_B                     ; NONE                                 ; Untyped                                ;
870 ; RDCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
871 ; BYTEENA_ACLR_B                     ; NONE                                 ; Untyped                                ;
872 ; WIDTH_BYTEENA_A                    ; 1                                    ; Untyped                                ;
873 ; WIDTH_BYTEENA_B                    ; 1                                    ; Untyped                                ;
874 ; RAM_BLOCK_TYPE                     ; AUTO                                 ; Untyped                                ;
875 ; BYTE_SIZE                          ; 8                                    ; Untyped                                ;
876 ; READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                             ; Untyped                                ;
877 ; READ_DURING_WRITE_MODE_PORT_A      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
878 ; READ_DURING_WRITE_MODE_PORT_B      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
879 ; INIT_FILE                          ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; Untyped                                ;
880 ; INIT_FILE_LAYOUT                   ; PORT_A                               ; Untyped                                ;
881 ; MAXIMUM_DEPTH                      ; 0                                    ; Untyped                                ;
882 ; CLOCK_ENABLE_INPUT_A               ; NORMAL                               ; Untyped                                ;
883 ; CLOCK_ENABLE_INPUT_B               ; NORMAL                               ; Untyped                                ;
884 ; CLOCK_ENABLE_OUTPUT_A              ; NORMAL                               ; Untyped                                ;
885 ; CLOCK_ENABLE_OUTPUT_B              ; NORMAL                               ; Untyped                                ;
886 ; CLOCK_ENABLE_CORE_A                ; USE_INPUT_CLKEN                      ; Untyped                                ;
887 ; CLOCK_ENABLE_CORE_B                ; USE_INPUT_CLKEN                      ; Untyped                                ;
888 ; ENABLE_ECC                         ; FALSE                                ; Untyped                                ;
889 ; DEVICE_FAMILY                      ; Cyclone                              ; Untyped                                ;
890 ; CBXI_PARAMETER                     ; altsyncram_emk1                      ; Untyped                                ;
891 +------------------------------------+--------------------------------------+----------------------------------------+
892 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
893
894
895 +--------------------------------------------------------------------------------------------------------------------+
896 ; Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 ;
897 +------------------------------------+--------------------------------------+----------------------------------------+
898 ; Parameter Name                     ; Value                                ; Type                                   ;
899 +------------------------------------+--------------------------------------+----------------------------------------+
900 ; BYTE_SIZE_BLOCK                    ; 8                                    ; Untyped                                ;
901 ; AUTO_CARRY_CHAINS                  ; ON                                   ; AUTO_CARRY                             ;
902 ; IGNORE_CARRY_BUFFERS               ; OFF                                  ; IGNORE_CARRY                           ;
903 ; AUTO_CASCADE_CHAINS                ; ON                                   ; AUTO_CASCADE                           ;
904 ; IGNORE_CASCADE_BUFFERS             ; OFF                                  ; IGNORE_CASCADE                         ;
905 ; WIDTH_BYTEENA                      ; 1                                    ; Untyped                                ;
906 ; OPERATION_MODE                     ; DUAL_PORT                            ; Untyped                                ;
907 ; WIDTH_A                            ; 32                                   ; Untyped                                ;
908 ; WIDTHAD_A                          ; 4                                    ; Untyped                                ;
909 ; NUMWORDS_A                         ; 16                                   ; Untyped                                ;
910 ; OUTDATA_REG_A                      ; UNREGISTERED                         ; Untyped                                ;
911 ; ADDRESS_ACLR_A                     ; NONE                                 ; Untyped                                ;
912 ; OUTDATA_ACLR_A                     ; NONE                                 ; Untyped                                ;
913 ; WRCONTROL_ACLR_A                   ; NONE                                 ; Untyped                                ;
914 ; INDATA_ACLR_A                      ; NONE                                 ; Untyped                                ;
915 ; BYTEENA_ACLR_A                     ; NONE                                 ; Untyped                                ;
916 ; WIDTH_B                            ; 32                                   ; Untyped                                ;
917 ; WIDTHAD_B                          ; 4                                    ; Untyped                                ;
918 ; NUMWORDS_B                         ; 16                                   ; Untyped                                ;
919 ; INDATA_REG_B                       ; CLOCK1                               ; Untyped                                ;
920 ; WRCONTROL_WRADDRESS_REG_B          ; CLOCK1                               ; Untyped                                ;
921 ; RDCONTROL_REG_B                    ; CLOCK1                               ; Untyped                                ;
922 ; ADDRESS_REG_B                      ; CLOCK0                               ; Untyped                                ;
923 ; OUTDATA_REG_B                      ; UNREGISTERED                         ; Untyped                                ;
924 ; BYTEENA_REG_B                      ; CLOCK1                               ; Untyped                                ;
925 ; INDATA_ACLR_B                      ; NONE                                 ; Untyped                                ;
926 ; WRCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
927 ; ADDRESS_ACLR_B                     ; NONE                                 ; Untyped                                ;
928 ; OUTDATA_ACLR_B                     ; NONE                                 ; Untyped                                ;
929 ; RDCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
930 ; BYTEENA_ACLR_B                     ; NONE                                 ; Untyped                                ;
931 ; WIDTH_BYTEENA_A                    ; 1                                    ; Untyped                                ;
932 ; WIDTH_BYTEENA_B                    ; 1                                    ; Untyped                                ;
933 ; RAM_BLOCK_TYPE                     ; AUTO                                 ; Untyped                                ;
934 ; BYTE_SIZE                          ; 8                                    ; Untyped                                ;
935 ; READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                             ; Untyped                                ;
936 ; READ_DURING_WRITE_MODE_PORT_A      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
937 ; READ_DURING_WRITE_MODE_PORT_B      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
938 ; INIT_FILE                          ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; Untyped                                ;
939 ; INIT_FILE_LAYOUT                   ; PORT_A                               ; Untyped                                ;
940 ; MAXIMUM_DEPTH                      ; 0                                    ; Untyped                                ;
941 ; CLOCK_ENABLE_INPUT_A               ; NORMAL                               ; Untyped                                ;
942 ; CLOCK_ENABLE_INPUT_B               ; NORMAL                               ; Untyped                                ;
943 ; CLOCK_ENABLE_OUTPUT_A              ; NORMAL                               ; Untyped                                ;
944 ; CLOCK_ENABLE_OUTPUT_B              ; NORMAL                               ; Untyped                                ;
945 ; CLOCK_ENABLE_CORE_A                ; USE_INPUT_CLKEN                      ; Untyped                                ;
946 ; CLOCK_ENABLE_CORE_B                ; USE_INPUT_CLKEN                      ; Untyped                                ;
947 ; ENABLE_ECC                         ; FALSE                                ; Untyped                                ;
948 ; DEVICE_FAMILY                      ; Cyclone                              ; Untyped                                ;
949 ; CBXI_PARAMETER                     ; altsyncram_emk1                      ; Untyped                                ;
950 +------------------------------------+--------------------------------------+----------------------------------------+
951 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
952
953
954 +---------------------------------------------------------------------------------------------------------------+
955 ; altsyncram Parameter Settings by Entity Instance                                                              ;
956 +-------------------------------------------+-------------------------------------------------------------------+
957 ; Name                                      ; Value                                                             ;
958 +-------------------------------------------+-------------------------------------------------------------------+
959 ; Number of entity instances                ; 2                                                                 ;
960 ; Entity Instance                           ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ;
961 ;     -- OPERATION_MODE                     ; DUAL_PORT                                                         ;
962 ;     -- WIDTH_A                            ; 32                                                                ;
963 ;     -- NUMWORDS_A                         ; 16                                                                ;
964 ;     -- OUTDATA_REG_A                      ; UNREGISTERED                                                      ;
965 ;     -- WIDTH_B                            ; 32                                                                ;
966 ;     -- NUMWORDS_B                         ; 16                                                                ;
967 ;     -- ADDRESS_REG_B                      ; CLOCK0                                                            ;
968 ;     -- OUTDATA_REG_B                      ; UNREGISTERED                                                      ;
969 ;     -- RAM_BLOCK_TYPE                     ; AUTO                                                              ;
970 ;     -- READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                                                          ;
971 ; Entity Instance                           ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 ;
972 ;     -- OPERATION_MODE                     ; DUAL_PORT                                                         ;
973 ;     -- WIDTH_A                            ; 32                                                                ;
974 ;     -- NUMWORDS_A                         ; 16                                                                ;
975 ;     -- OUTDATA_REG_A                      ; UNREGISTERED                                                      ;
976 ;     -- WIDTH_B                            ; 32                                                                ;
977 ;     -- NUMWORDS_B                         ; 16                                                                ;
978 ;     -- ADDRESS_REG_B                      ; CLOCK0                                                            ;
979 ;     -- OUTDATA_REG_B                      ; UNREGISTERED                                                      ;
980 ;     -- RAM_BLOCK_TYPE                     ; AUTO                                                              ;
981 ;     -- READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                                                          ;
982 +-------------------------------------------+-------------------------------------------------------------------+
983
984
985 +-----------------------------------------------------------------------------------------------------------------------+
986 ; Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst"                   ;
987 +-------------+--------+----------+-------------------------------------------------------------------------------------+
988 ; Port        ; Type   ; Severity ; Details                                                                             ;
989 +-------------+--------+----------+-------------------------------------------------------------------------------------+
990 ; new_rx_data ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
991 ; rx_data     ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
992 +-------------+--------+----------+-------------------------------------------------------------------------------------+
993
994
995 +--------------------------------------------------------------------------------------------------------------------+
996 ; Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart"                                       ;
997 +----------+--------+----------+-------------------------------------------------------------------------------------+
998 ; Port     ; Type   ; Severity ; Details                                                                             ;
999 +----------+--------+----------+-------------------------------------------------------------------------------------+
1000 ; data_out ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1001 +----------+--------+----------+-------------------------------------------------------------------------------------+
1002
1003
1004 +----------------------------------------------------------------------------------------------------------------------------------+
1005 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst"                                                ;
1006 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1007 ; Port                   ; Type   ; Severity ; Details                                                                             ;
1008 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1009 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1010 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1011 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1012 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1013 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1014 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1015 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1016 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1017
1018
1019 +----------------------------------------------------------------------------------------------------------------------------------+
1020 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst"                                                  ;
1021 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1022 ; Port                   ; Type   ; Severity ; Details                                                                             ;
1023 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1024 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1025 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1026 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1027 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1028 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1029 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1030 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1031 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1032
1033
1034 +----------------------------------------------------------------------------------------------------------------------------------+
1035 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst"                                                   ;
1036 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1037 ; Port                   ; Type   ; Severity ; Details                                                                             ;
1038 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1039 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1040 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1041 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1042 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1043 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1044 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1045 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1046 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1047
1048
1049 +----------------------------------------------------------------------------------------------------------------------------------+
1050 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst"                                                  ;
1051 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1052 ; Port                   ; Type   ; Severity ; Details                                                                             ;
1053 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1054 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1055 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1056 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1057 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1058 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1059 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1060 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1061 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1062
1063
1064 +----------------------------------------------------------------------------------------------------------------------------------+
1065 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst"                                                  ;
1066 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1067 ; Port                   ; Type   ; Severity ; Details                                                                             ;
1068 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1069 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1070 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1071 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1072 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1073 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1074 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1075 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1076 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1077
1078
1079 +------------------------------------------------------------------------------------------------------------------------------+
1080 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst"                                                               ;
1081 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1082 ; Port               ; Type   ; Severity ; Details                                                                             ;
1083 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1084 ; alu_state.reg_op   ; Input  ; Info     ; Stuck at GND                                                                        ;
1085 ; alu_state.mem_op   ; Input  ; Info     ; Stuck at GND                                                                        ;
1086 ; alu_state.mem_en   ; Input  ; Info     ; Stuck at GND                                                                        ;
1087 ; alu_state.hw_op    ; Input  ; Info     ; Stuck at GND                                                                        ;
1088 ; alu_state.byte_op  ; Input  ; Info     ; Stuck at GND                                                                        ;
1089 ; alu_state.sign_xt  ; Input  ; Info     ; Stuck at GND                                                                        ;
1090 ; alu_result.sign_xt ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1091 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1092
1093
1094 +------------------------------------------------------------------------------------------------------------------------+
1095 ; Port Connectivity Checks: "execute_stage:exec_st"                                                                      ;
1096 +--------------+--------+----------+-------------------------------------------------------------------------------------+
1097 ; Port         ; Type   ; Severity ; Details                                                                             ;
1098 +--------------+--------+----------+-------------------------------------------------------------------------------------+
1099 ; ext_data_out ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1100 +--------------+--------+----------+-------------------------------------------------------------------------------------+
1101
1102
1103 +------------------------------------------------------------------------------------------------------------------------------+
1104 ; Port Connectivity Checks: "decode_stage:decode_st|decoder:decoder_inst"                                                      ;
1105 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1106 ; Port               ; Type   ; Severity ; Details                                                                             ;
1107 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1108 ; instr_spl.jmptype  ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1109 ; instr_spl.high_low ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1110 ; instr_spl.fill     ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1111 ; instr_spl.signext  ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1112 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1113
1114
1115 +-------------------------------+
1116 ; Analysis & Synthesis Messages ;
1117 +-------------------------------+
1118 Info: *******************************************************************
1119 Info: Running Quartus II Analysis & Synthesis
1120     Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
1121     Info: Processing started: Fri Dec 17 12:26:25 2010
1122 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off dt -c dt
1123 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/rs232_rx_arc.vhd
1124     Info: Found design unit 1: rs232_rx-beh
1125 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/rs232_rx.vhd
1126     Info: Found entity 1: rs232_rx
1127 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/writeback_stage_b.vhd
1128     Info: Found design unit 1: writeback_stage-behav
1129 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/writeback_stage.vhd
1130     Info: Found entity 1: writeback_stage
1131 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/rw_r_ram_b.vhd
1132     Info: Found design unit 1: rw_r_ram-behaviour
1133 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/rw_r_ram.vhd
1134     Info: Found entity 1: rw_r_ram
1135 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/rs232_tx_arc.vhd
1136     Info: Found design unit 1: rs232_tx-beh
1137 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/rs232_tx.vhd
1138     Info: Found entity 1: rs232_tx
1139 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/r_w_ram_b.vhd
1140     Info: Found design unit 1: r_w_ram-behaviour
1141 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/r_w_ram.vhd
1142     Info: Found entity 1: r_w_ram
1143 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/r2_w_ram_b.vhd
1144     Info: Found design unit 1: r2_w_ram-behaviour
1145 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/r2_w_ram.vhd
1146     Info: Found entity 1: r2_w_ram
1147 Info: Found 3 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/pipeline_tb.vhd
1148     Info: Found design unit 1: pipeline_tb-behavior
1149     Info: Found design unit 2: pipeline_conf_beh
1150     Info: Found entity 1: pipeline_tb
1151 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/mem_pkg.vhd
1152     Info: Found design unit 1: mem_pkg
1153 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/fetch_stage_b.vhd
1154     Info: Found design unit 1: fetch_stage-behav
1155 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/fetch_stage.vhd
1156     Info: Found entity 1: fetch_stage
1157 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_uart_pkg.vhd
1158     Info: Found design unit 1: extension_uart_pkg
1159 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_uart_b.vhd
1160     Info: Found design unit 1: extension_uart-behav
1161 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/extension_uart.vhd
1162     Info: Found entity 1: extension_uart
1163 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_pkg.vhd
1164     Info: Found design unit 1: extension_pkg
1165 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_b.vhd
1166     Info: Found design unit 1: extension_gpm-behav
1167 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/extension.vhd
1168     Info: Found entity 1: extension_gpm
1169 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/execute_stage_b.vhd
1170     Info: Found design unit 1: execute_stage-behav
1171 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/execute_stage.vhd
1172     Info: Found entity 1: execute_stage
1173 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/exec_op.vhd
1174     Info: Found entity 1: exec_op
1175 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/decoder_b.vhd
1176     Info: Found design unit 1: decoder-behav_d
1177 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/decoder.vhd
1178     Info: Found entity 1: decoder
1179 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/decode_stage_b.vhd
1180     Info: Found design unit 1: decode_stage-behav
1181 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/decode_stage.vhd
1182     Info: Found entity 1: decode_stage
1183 Info: Found 2 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/core_top.vhd
1184     Info: Found design unit 1: core_top-behav
1185     Info: Found entity 1: core_top
1186 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/core_pkg.vhd
1187     Info: Found design unit 1: core_pkg
1188 Info: Found 2 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/common_pkg.vhd
1189     Info: Found design unit 1: common_pkg
1190     Info: Found design unit 2: common_pkg-body
1191 Info: Found 2 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/alu_pkg.vhd
1192     Info: Found design unit 1: alu_pkg
1193     Info: Found design unit 2: alu_pkg-body
1194 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/alu_b.vhd
1195     Info: Found design unit 1: alu-behaviour
1196 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/alu.vhd
1197     Info: Found entity 1: alu
1198 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/xor_op_b.vhd
1199     Info: Found design unit 1: exec_op-xor_op
1200 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/shift_op_b.vhd
1201     Info: Found design unit 1: exec_op-shift_op
1202 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/or_op_b.vhd
1203     Info: Found design unit 1: exec_op-or_op
1204 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/and_op_b.vhd
1205     Info: Found design unit 1: exec_op-and_op
1206 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/add_op_b.vhd
1207     Info: Found design unit 1: exec_op-add_op
1208 Info: Elaborating entity "core_top" for the top level hierarchy
1209 Warning (10036): Verilog HDL or VHDL warning at core_top.vhd(25): object "jump_result" assigned a value but never read
1210 Warning (10541): VHDL Signal Declaration warning at core_top.vhd(53): used implicit default value for signal "gpm_in_pin" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1211 Warning (10036): Verilog HDL or VHDL warning at core_top.vhd(54): object "gpm_out_pin" assigned a value but never read
1212 Info: Elaborating entity "fetch_stage" for hierarchy "fetch_stage:fetch_st"
1213 Warning (10541): VHDL Signal Declaration warning at fetch_stage_b.vhd(11): used implicit default value for signal "instr_w_addr" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1214 Warning (10541): VHDL Signal Declaration warning at fetch_stage_b.vhd(14): used implicit default value for signal "instr_we" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1215 Warning (10541): VHDL Signal Declaration warning at fetch_stage_b.vhd(15): used implicit default value for signal "instr_wr_data" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1216 Info: Elaborating entity "r_w_ram" for hierarchy "fetch_stage:fetch_st|r_w_ram:instruction_ram"
1217 Warning (10036): Verilog HDL or VHDL warning at r_w_ram_b.vhd(15): object "ram" assigned a value but never read
1218 Info: Elaborating entity "decode_stage" for hierarchy "decode_stage:decode_st"
1219 Info: Elaborating entity "r2_w_ram" for hierarchy "decode_stage:decode_st|r2_w_ram:register_ram"
1220 Info: Elaborating entity "decoder" for hierarchy "decode_stage:decode_st|decoder:decoder_inst"
1221 Info: Elaborating entity "execute_stage" for hierarchy "execute_stage:exec_st"
1222 Warning (10541): VHDL Signal Declaration warning at execute_stage_b.vhd(19): used implicit default value for signal "ext_gpmp" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1223 Info: Elaborating entity "alu" for hierarchy "execute_stage:exec_st|alu:alu_inst"
1224 Info: Elaborating entity "exec_op" using architecture "A:add_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst"
1225 Info: Elaborating entity "exec_op" using architecture "A:and_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst"
1226 Info: Elaborating entity "exec_op" using architecture "A:or_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst"
1227 Info: Elaborating entity "exec_op" using architecture "A:xor_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst"
1228 Info: Elaborating entity "exec_op" using architecture "A:shift_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst"
1229 Info: Elaborating entity "extension_gpm" for hierarchy "execute_stage:exec_st|extension_gpm:gpmp_inst"
1230 Info: Elaborating entity "writeback_stage" for hierarchy "writeback_stage:writeback_st"
1231 Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(14): object "data_ram_read_ext" assigned a value but never read
1232 Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(19): object "ext_timer" assigned a value but never read
1233 Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(19): object "ext_gpmp" assigned a value but never read
1234 Warning (10812): VHDL warning at writeback_stage_b.vhd(164): sensitivity list already contains wb_reg_nxt
1235 Info: Elaborating entity "extension_uart" for hierarchy "writeback_stage:writeback_st|extension_uart:uart"
1236 Warning (10036): Verilog HDL or VHDL warning at extension_uart_b.vhd(15): object "new_bus_rx" assigned a value but never read
1237 Warning (10036): Verilog HDL or VHDL warning at extension_uart_b.vhd(17): object "rx_data" assigned a value but never read
1238 Info: Elaborating entity "rs232_tx" for hierarchy "writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst"
1239 Info: Elaborating entity "rs232_rx" for hierarchy "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst"
1240 Info: Inferred 2 megafunctions from design logic
1241     Info: Inferred altsyncram megafunction from the following design logic: "decode_stage:decode_st|r2_w_ram:register_ram|ram~37" 
1242         Info: Parameter OPERATION_MODE set to DUAL_PORT
1243         Info: Parameter WIDTH_A set to 32
1244         Info: Parameter WIDTHAD_A set to 4
1245         Info: Parameter NUMWORDS_A set to 16
1246         Info: Parameter WIDTH_B set to 32
1247         Info: Parameter WIDTHAD_B set to 4
1248         Info: Parameter NUMWORDS_B set to 16
1249         Info: Parameter ADDRESS_ACLR_A set to NONE
1250         Info: Parameter OUTDATA_REG_B set to UNREGISTERED
1251         Info: Parameter ADDRESS_ACLR_B set to NONE
1252         Info: Parameter OUTDATA_ACLR_B set to NONE
1253         Info: Parameter ADDRESS_REG_B set to CLOCK0
1254         Info: Parameter INDATA_ACLR_A set to NONE
1255         Info: Parameter WRCONTROL_ACLR_A set to NONE
1256         Info: Parameter INIT_FILE set to db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif
1257         Info: Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
1258     Info: Inferred altsyncram megafunction from the following design logic: "decode_stage:decode_st|r2_w_ram:register_ram|ram~38" 
1259         Info: Parameter OPERATION_MODE set to DUAL_PORT
1260         Info: Parameter WIDTH_A set to 32
1261         Info: Parameter WIDTHAD_A set to 4
1262         Info: Parameter NUMWORDS_A set to 16
1263         Info: Parameter WIDTH_B set to 32
1264         Info: Parameter WIDTHAD_B set to 4
1265         Info: Parameter NUMWORDS_B set to 16
1266         Info: Parameter ADDRESS_ACLR_A set to NONE
1267         Info: Parameter OUTDATA_REG_B set to UNREGISTERED
1268         Info: Parameter ADDRESS_ACLR_B set to NONE
1269         Info: Parameter OUTDATA_ACLR_B set to NONE
1270         Info: Parameter ADDRESS_REG_B set to CLOCK0
1271         Info: Parameter INDATA_ACLR_A set to NONE
1272         Info: Parameter WRCONTROL_ACLR_A set to NONE
1273         Info: Parameter INIT_FILE set to db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif
1274         Info: Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
1275 Info: Elaborated megafunction instantiation "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0"
1276 Info: Instantiated megafunction "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0" with the following parameter:
1277     Info: Parameter "OPERATION_MODE" = "DUAL_PORT"
1278     Info: Parameter "WIDTH_A" = "32"
1279     Info: Parameter "WIDTHAD_A" = "4"
1280     Info: Parameter "NUMWORDS_A" = "16"
1281     Info: Parameter "WIDTH_B" = "32"
1282     Info: Parameter "WIDTHAD_B" = "4"
1283     Info: Parameter "NUMWORDS_B" = "16"
1284     Info: Parameter "ADDRESS_ACLR_A" = "NONE"
1285     Info: Parameter "OUTDATA_REG_B" = "UNREGISTERED"
1286     Info: Parameter "ADDRESS_ACLR_B" = "NONE"
1287     Info: Parameter "OUTDATA_ACLR_B" = "NONE"
1288     Info: Parameter "ADDRESS_REG_B" = "CLOCK0"
1289     Info: Parameter "INDATA_ACLR_A" = "NONE"
1290     Info: Parameter "WRCONTROL_ACLR_A" = "NONE"
1291     Info: Parameter "INIT_FILE" = "db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif"
1292     Info: Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA"
1293 Info: Found 1 design units, including 1 entities, in source file db/altsyncram_emk1.tdf
1294     Info: Found entity 1: altsyncram_emk1
1295 Info: Elaborated megafunction instantiation "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1"
1296 Info: Instantiated megafunction "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1" with the following parameter:
1297     Info: Parameter "OPERATION_MODE" = "DUAL_PORT"
1298     Info: Parameter "WIDTH_A" = "32"
1299     Info: Parameter "WIDTHAD_A" = "4"
1300     Info: Parameter "NUMWORDS_A" = "16"
1301     Info: Parameter "WIDTH_B" = "32"
1302     Info: Parameter "WIDTHAD_B" = "4"
1303     Info: Parameter "NUMWORDS_B" = "16"
1304     Info: Parameter "ADDRESS_ACLR_A" = "NONE"
1305     Info: Parameter "OUTDATA_REG_B" = "UNREGISTERED"
1306     Info: Parameter "ADDRESS_ACLR_B" = "NONE"
1307     Info: Parameter "OUTDATA_ACLR_B" = "NONE"
1308     Info: Parameter "ADDRESS_REG_B" = "CLOCK0"
1309     Info: Parameter "INDATA_ACLR_A" = "NONE"
1310     Info: Parameter "WRCONTROL_ACLR_A" = "NONE"
1311     Info: Parameter "INIT_FILE" = "db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif"
1312     Info: Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA"
1313 Info: Registers with preset signals will power-up high
1314 Info: 187 registers lost all their fanouts during netlist optimizations. The first 187 are displayed below.
1315     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|bus_rx_int" lost all its fanouts during netlist optimizations.
1316     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero" lost all its fanouts during netlist optimizations.
1317     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo" lost all its fanouts during netlist optimizations.
1318     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign" lost all its fanouts during netlist optimizations.
1319     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][29]" lost all its fanouts during netlist optimizations.
1320     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][28]" lost all its fanouts during netlist optimizations.
1321     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][27]" lost all its fanouts during netlist optimizations.
1322     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][26]" lost all its fanouts during netlist optimizations.
1323     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][25]" lost all its fanouts during netlist optimizations.
1324     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][24]" lost all its fanouts during netlist optimizations.
1325     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][23]" lost all its fanouts during netlist optimizations.
1326     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][22]" lost all its fanouts during netlist optimizations.
1327     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][21]" lost all its fanouts during netlist optimizations.
1328     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][20]" lost all its fanouts during netlist optimizations.
1329     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][19]" lost all its fanouts during netlist optimizations.
1330     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][18]" lost all its fanouts during netlist optimizations.
1331     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][17]" lost all its fanouts during netlist optimizations.
1332     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][16]" lost all its fanouts during netlist optimizations.
1333     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][15]" lost all its fanouts during netlist optimizations.
1334     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][14]" lost all its fanouts during netlist optimizations.
1335     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][13]" lost all its fanouts during netlist optimizations.
1336     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][12]" lost all its fanouts during netlist optimizations.
1337     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][11]" lost all its fanouts during netlist optimizations.
1338     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][10]" lost all its fanouts during netlist optimizations.
1339     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][9]" lost all its fanouts during netlist optimizations.
1340     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][8]" lost all its fanouts during netlist optimizations.
1341     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][7]" lost all its fanouts during netlist optimizations.
1342     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][6]" lost all its fanouts during netlist optimizations.
1343     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][5]" lost all its fanouts during netlist optimizations.
1344     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][4]" lost all its fanouts during netlist optimizations.
1345     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][3]" lost all its fanouts during netlist optimizations.
1346     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][2]" lost all its fanouts during netlist optimizations.
1347     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][1]" lost all its fanouts during netlist optimizations.
1348     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][0]" lost all its fanouts during netlist optimizations.
1349     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][29]" lost all its fanouts during netlist optimizations.
1350     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][28]" lost all its fanouts during netlist optimizations.
1351     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][27]" lost all its fanouts during netlist optimizations.
1352     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][26]" lost all its fanouts during netlist optimizations.
1353     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][25]" lost all its fanouts during netlist optimizations.
1354     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][24]" lost all its fanouts during netlist optimizations.
1355     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][23]" lost all its fanouts during netlist optimizations.
1356     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][22]" lost all its fanouts during netlist optimizations.
1357     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][21]" lost all its fanouts during netlist optimizations.
1358     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][20]" lost all its fanouts during netlist optimizations.
1359     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][19]" lost all its fanouts during netlist optimizations.
1360     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][18]" lost all its fanouts during netlist optimizations.
1361     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][17]" lost all its fanouts during netlist optimizations.
1362     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][16]" lost all its fanouts during netlist optimizations.
1363     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][15]" lost all its fanouts during netlist optimizations.
1364     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][14]" lost all its fanouts during netlist optimizations.
1365     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][13]" lost all its fanouts during netlist optimizations.
1366     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][12]" lost all its fanouts during netlist optimizations.
1367     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][11]" lost all its fanouts during netlist optimizations.
1368     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][10]" lost all its fanouts during netlist optimizations.
1369     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][9]" lost all its fanouts during netlist optimizations.
1370     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][8]" lost all its fanouts during netlist optimizations.
1371     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][7]" lost all its fanouts during netlist optimizations.
1372     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][6]" lost all its fanouts during netlist optimizations.
1373     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][5]" lost all its fanouts during netlist optimizations.
1374     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][4]" lost all its fanouts during netlist optimizations.
1375     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][3]" lost all its fanouts during netlist optimizations.
1376     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][2]" lost all its fanouts during netlist optimizations.
1377     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][1]" lost all its fanouts during netlist optimizations.
1378     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][0]" lost all its fanouts during netlist optimizations.
1379     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][29]" lost all its fanouts during netlist optimizations.
1380     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][28]" lost all its fanouts during netlist optimizations.
1381     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][27]" lost all its fanouts during netlist optimizations.
1382     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][26]" lost all its fanouts during netlist optimizations.
1383     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][25]" lost all its fanouts during netlist optimizations.
1384     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][24]" lost all its fanouts during netlist optimizations.
1385     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][23]" lost all its fanouts during netlist optimizations.
1386     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][22]" lost all its fanouts during netlist optimizations.
1387     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][21]" lost all its fanouts during netlist optimizations.
1388     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][20]" lost all its fanouts during netlist optimizations.
1389     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][19]" lost all its fanouts during netlist optimizations.
1390     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][18]" lost all its fanouts during netlist optimizations.
1391     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][17]" lost all its fanouts during netlist optimizations.
1392     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][16]" lost all its fanouts during netlist optimizations.
1393     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][15]" lost all its fanouts during netlist optimizations.
1394     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][14]" lost all its fanouts during netlist optimizations.
1395     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][13]" lost all its fanouts during netlist optimizations.
1396     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][12]" lost all its fanouts during netlist optimizations.
1397     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][11]" lost all its fanouts during netlist optimizations.
1398     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][10]" lost all its fanouts during netlist optimizations.
1399     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][9]" lost all its fanouts during netlist optimizations.
1400     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][8]" lost all its fanouts during netlist optimizations.
1401     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][7]" lost all its fanouts during netlist optimizations.
1402     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][6]" lost all its fanouts during netlist optimizations.
1403     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][5]" lost all its fanouts during netlist optimizations.
1404     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][4]" lost all its fanouts during netlist optimizations.
1405     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][3]" lost all its fanouts during netlist optimizations.
1406     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][2]" lost all its fanouts during netlist optimizations.
1407     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][1]" lost all its fanouts during netlist optimizations.
1408     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][0]" lost all its fanouts during netlist optimizations.
1409     Info: Register "decode_stage:decode_st|dec_op_inst.daddr[2]" lost all its fanouts during netlist optimizations.
1410     Info: Register "decode_stage:decode_st|dec_op_inst.op_group.AND_OP" lost all its fanouts during netlist optimizations.
1411     Info: Register "decode_stage:decode_st|dec_op_inst.op_group.XOR_OP" lost all its fanouts during netlist optimizations.
1412     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[31]" lost all its fanouts during netlist optimizations.
1413     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[30]" lost all its fanouts during netlist optimizations.
1414     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[29]" lost all its fanouts during netlist optimizations.
1415     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[28]" lost all its fanouts during netlist optimizations.
1416     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[27]" lost all its fanouts during netlist optimizations.
1417     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[26]" lost all its fanouts during netlist optimizations.
1418     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[25]" lost all its fanouts during netlist optimizations.
1419     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[24]" lost all its fanouts during netlist optimizations.
1420     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[23]" lost all its fanouts during netlist optimizations.
1421     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[22]" lost all its fanouts during netlist optimizations.
1422     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[21]" lost all its fanouts during netlist optimizations.
1423     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[20]" lost all its fanouts during netlist optimizations.
1424     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[19]" lost all its fanouts during netlist optimizations.
1425     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[18]" lost all its fanouts during netlist optimizations.
1426     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[17]" lost all its fanouts during netlist optimizations.
1427     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[16]" lost all its fanouts during netlist optimizations.
1428     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[15]" lost all its fanouts during netlist optimizations.
1429     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[14]" lost all its fanouts during netlist optimizations.
1430     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[13]" lost all its fanouts during netlist optimizations.
1431     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[12]" lost all its fanouts during netlist optimizations.
1432     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[11]" lost all its fanouts during netlist optimizations.
1433     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[10]" lost all its fanouts during netlist optimizations.
1434     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[9]" lost all its fanouts during netlist optimizations.
1435     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[8]" lost all its fanouts during netlist optimizations.
1436     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[7]" lost all its fanouts during netlist optimizations.
1437     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[6]" lost all its fanouts during netlist optimizations.
1438     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[5]" lost all its fanouts during netlist optimizations.
1439     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[4]" lost all its fanouts during netlist optimizations.
1440     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[3]" lost all its fanouts during netlist optimizations.
1441     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[2]" lost all its fanouts during netlist optimizations.
1442     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[1]" lost all its fanouts during netlist optimizations.
1443     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[0]" lost all its fanouts during netlist optimizations.
1444     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[31]" lost all its fanouts during netlist optimizations.
1445     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[30]" lost all its fanouts during netlist optimizations.
1446     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[29]" lost all its fanouts during netlist optimizations.
1447     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[28]" lost all its fanouts during netlist optimizations.
1448     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[27]" lost all its fanouts during netlist optimizations.
1449     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[26]" lost all its fanouts during netlist optimizations.
1450     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[25]" lost all its fanouts during netlist optimizations.
1451     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[24]" lost all its fanouts during netlist optimizations.
1452     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[23]" lost all its fanouts during netlist optimizations.
1453     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[22]" lost all its fanouts during netlist optimizations.
1454     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[21]" lost all its fanouts during netlist optimizations.
1455     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[20]" lost all its fanouts during netlist optimizations.
1456     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[19]" lost all its fanouts during netlist optimizations.
1457     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[18]" lost all its fanouts during netlist optimizations.
1458     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[17]" lost all its fanouts during netlist optimizations.
1459     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[16]" lost all its fanouts during netlist optimizations.
1460     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[15]" lost all its fanouts during netlist optimizations.
1461     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[14]" lost all its fanouts during netlist optimizations.
1462     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[13]" lost all its fanouts during netlist optimizations.
1463     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[12]" lost all its fanouts during netlist optimizations.
1464     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[11]" lost all its fanouts during netlist optimizations.
1465     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[10]" lost all its fanouts during netlist optimizations.
1466     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[9]" lost all its fanouts during netlist optimizations.
1467     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[8]" lost all its fanouts during netlist optimizations.
1468     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[7]" lost all its fanouts during netlist optimizations.
1469     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[6]" lost all its fanouts during netlist optimizations.
1470     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[5]" lost all its fanouts during netlist optimizations.
1471     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[4]" lost all its fanouts during netlist optimizations.
1472     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[3]" lost all its fanouts during netlist optimizations.
1473     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[2]" lost all its fanouts during netlist optimizations.
1474     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[1]" lost all its fanouts during netlist optimizations.
1475     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[0]" lost all its fanouts during netlist optimizations.
1476     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.IDLE" lost all its fanouts during netlist optimizations.
1477     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_START" lost all its fanouts during netlist optimizations.
1478     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_BIT" lost all its fanouts during netlist optimizations.
1479     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_STOP" lost all its fanouts during netlist optimizations.
1480     Info: Register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.POST_STOP" lost all its fanouts during netlist optimizations.
1481     Info: Register "fetch_stage:fetch_st|instr_r_addr[11]" lost all its fanouts during netlist optimizations.
1482     Info: Register "fetch_stage:fetch_st|instr_r_addr[12]" lost all its fanouts during netlist optimizations.
1483     Info: Register "fetch_stage:fetch_st|instr_r_addr[13]" lost all its fanouts during netlist optimizations.
1484     Info: Register "fetch_stage:fetch_st|instr_r_addr[14]" lost all its fanouts during netlist optimizations.
1485     Info: Register "fetch_stage:fetch_st|instr_r_addr[15]" lost all its fanouts during netlist optimizations.
1486     Info: Register "fetch_stage:fetch_st|instr_r_addr[16]" lost all its fanouts during netlist optimizations.
1487     Info: Register "fetch_stage:fetch_st|instr_r_addr[17]" lost all its fanouts during netlist optimizations.
1488     Info: Register "fetch_stage:fetch_st|instr_r_addr[18]" lost all its fanouts during netlist optimizations.
1489     Info: Register "fetch_stage:fetch_st|instr_r_addr[19]" lost all its fanouts during netlist optimizations.
1490     Info: Register "fetch_stage:fetch_st|instr_r_addr[20]" lost all its fanouts during netlist optimizations.
1491     Info: Register "fetch_stage:fetch_st|instr_r_addr[21]" lost all its fanouts during netlist optimizations.
1492     Info: Register "fetch_stage:fetch_st|instr_r_addr[22]" lost all its fanouts during netlist optimizations.
1493     Info: Register "fetch_stage:fetch_st|instr_r_addr[23]" lost all its fanouts during netlist optimizations.
1494     Info: Register "fetch_stage:fetch_st|instr_r_addr[24]" lost all its fanouts during netlist optimizations.
1495     Info: Register "fetch_stage:fetch_st|instr_r_addr[25]" lost all its fanouts during netlist optimizations.
1496     Info: Register "fetch_stage:fetch_st|instr_r_addr[26]" lost all its fanouts during netlist optimizations.
1497     Info: Register "fetch_stage:fetch_st|instr_r_addr[27]" lost all its fanouts during netlist optimizations.
1498     Info: Register "fetch_stage:fetch_st|instr_r_addr[28]" lost all its fanouts during netlist optimizations.
1499     Info: Register "fetch_stage:fetch_st|instr_r_addr[29]" lost all its fanouts during netlist optimizations.
1500     Info: Register "fetch_stage:fetch_st|instr_r_addr[30]" lost all its fanouts during netlist optimizations.
1501     Info: Register "fetch_stage:fetch_st|instr_r_addr[31]" lost all its fanouts during netlist optimizations.
1502 Info: Removed 1 MSB VCC or GND address nodes from RAM block "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM"
1503 Info: Removed 1 MSB VCC or GND address nodes from RAM block "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM"
1504 Info: Generating hard_block partition "hard_block:auto_generated_inst"
1505 Info: Implemented 1210 device resources after synthesis - the final resource count might be different
1506     Info: Implemented 2 input pins
1507     Info: Implemented 1 output pins
1508     Info: Implemented 1143 logic cells
1509     Info: Implemented 64 RAM segments
1510 Info: Quartus II Analysis & Synthesis was successful. 0 errors, 14 warnings
1511     Info: Peak virtual memory: 268 megabytes
1512     Info: Processing ended: Fri Dec 17 12:26:49 2010
1513     Info: Elapsed time: 00:00:24
1514     Info: Total CPU time (on all processors): 00:00:22
1515
1516