writeback_stage: differenzieren zwischen memory und extension geht ( btw wer sich...
[calu.git] / cpu / src / r_w_ram_b.vhd
1 library ieee;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.mem_pkg.all;
7
8 architecture behaviour of r_w_ram is
9
10         subtype RAM_ENTRY_TYPE is std_logic_vector(DATA_WIDTH -1 downto 0);
11         type RAM_TYPE is array (0 to (2**ADDR_WIDTH)-1) of RAM_ENTRY_TYPE;
12         
13                                                                         -- r0 = 0, r1 = 1, r2 = 3, r3 = A
14
15         signal ram : RAM_TYPE := (
16         --      0 =>  x"ed2802d0", -- ldi r5, 0x5a;;
17         --              1 =>  x"ed010058", -- ldi r0, 0x200b;;
18         --              2 =>  x"e7a80000", -- stw r5, 0(r0);;
19         --              3 =>  x"e7828000", -- stw r0, 0(r5);;
20         --              4 =>  "11101011000000000000000000000010",
21
22                         --8 => "11100111100010000000000000000000", --stw
23 --      0 => "11101101000000000000000000000000",        --ldi
24 --      1 => "11101101001000000000000000000000",        --ldi
25 --      2 => "11100111101000000000000000000000",        --stw
26 --      3 => "11100001000000000000000000100001",
27 --      4 => "11101100100000000000001100000000",
28 --      5 => "00001011011111111111111010000011",
29 --      6 => "11101101000000000000000000001000",
30 --      7 => "11100111100000000000000000001111",
31 --      8 => "11100111100000000000000000010011",
32
33 --      9 => x"ed080048",       --;ldi r1, 9;;
34 --      10 => x"ed500080",      --;ldil r10, list@lo ;; global pointer
35 --      11 => x"fd500002",      --;ldih r10, list@hi;;
36 --      12 => x"eb000107",      --;call+ fibcall;;
37         --13 => x"eb7ffe03",    --;br+ main;;
38 --      13 => "11101011000000000000000000000010",       -- endless loop --2; fib(n) {
39                         --2;   if (list[n] > 0) {
40                         --2;    return list[n]
41                         --2;   }
42                         --2;   a = fib(n-1)
43                         --2;   list[n] = a + list[n-2]
44                         --2;   return list[n]
45                         --2; }
46                         --3;fibcall;
47                         --2;update counter for aligned access
48 --      14 => x"e5088800",      --;lls r1, r1, 2 ;; *4
49                         --2;calculate adress of top element
50 --      15 => x"e0150800",      --;add r2, r10, r1;;
51                         --3;fibmem;
52                         --2;load top element
53 --      16 => x"e7010000",      --;ldw r0, 0(r2);;
54                         --2;compare if set
55 --      17 => x"ec800000",      --;cmpi r0, 0;;
56                         --2;return if set
57 --      18 => x"0b000008",      --;retnz-;;
58                         --2;decrement adress for next lopp
59 --      19 => x"e1910020",      --;subi r2, r2, 4;;
60                         --2;iterative call for n-1 element
61 --      20 => x"eb7ffe07",      --;call+ fibmem;;
62                         --2;load n-2 element
63 --      21 => x"e7197ffc",      --;ldw r3, 0-4(r2);;
64                         --2;add n-1 and n-2 element
65 --      22 => x"e0018000",      --;add r0, r3, r0;;
66                         --2;increment address for n element
67                         --2;is needed because after return
68                         --2;we need r2 to be set to the address
69                         --2;of element n
70 --      23 => x"e1110020",      --;addi r2, r2, 4;;
71                         --2;store fib n
72 --      24 => x"e7810000",      --;stw r0, 0(r2);;
73 --      25 => x"eb00000a",      --;ret+;;
74
75 -- 1 1 2 3 5 8 13 21 34 55                         
76
77
78                                   others => x"F0000000");
79
80 --      signal ram : RAM_TYPE := (  0 => "11101101000000000000000000000000", -- r0 = 0
81 --
82 --                                  1 => "11101101000010000000000000111000", -- r1 = 7
83 --                                  2 => "11101101000100000000000000101000", -- r2 = 5
84 --                                  3 => "11101101000110000000000000100000", -- r3 = 4
85 --                                  4 => "11100000001000010001100000000000", -- r4 = r2 + r3
86 --                                  5 => "11100010001010100000100000000000", -- r5 = r4 and r1
87 --
88 --                                  6 => "11100001000000000000000000001000", -- r0 = r0 + 1
89 --                                  7 => "11101100100000000000000000011000", -- cmpi r0 , 2      
90 --
91 --                                  8 => "00001011011111111111110010000111", -- jump -7
92 --                                  9 => "11101011000000000000000010000010", -- jump +1
93 --                                 --10 => "11101011000000000000000010000010", -- jump +1
94 --
95   --                                 10 => "11100111101010100000000000000001", -- stw r5,r4,1
96         --                         11 => "11101100001000100000000000000000", -- cmp r4 , r4       => 2-2 => 1001
97 --
98 --                                 12 => "11101011000000000000000000000010", -- jump +0
99
100                                    
101
102
103 --                                others => x"F0000000");
104
105 --      signal ram : RAM_TYPE := (  0 => "11100000000100001000000000000000", --add r2, r1, r0    => r2 = 1
106 --                                  1 => "11100000000110001000000000000000", --add r3, r1, r0    => r3 = 1
107 --                                  2 => "11100000001000011001000000000000", --add r4, r3, r2    => r4 = 2
108 --                                  3 => "11100000000100001000000000000000", --add r2, r1, r0    => r2 = 1
109 --                                  4 => "11100000000110001000000000000000", --add r3, r1, r0    => r3 = 1
110 --                                  5 => "11100000001000011001000000000000", --add r4, r3, r2    => r4 = 2
111 --                                  6 => "11101100000000001000000000000000", --cmp r0 , r1       => 0-1 => 0100
112 --                                  7 => "00000000001010101010000000000001", --addnqd r5, r5, r4 => r5 = 2
113 --                                  8 => "00000000001010101010000000000000", --addnq r5, r5, r4  => r5 = 4
114 --                                  9 => "11101100001000100000000000000000", --cmp r4 , r4       => 2-2 => 1001
115 --                                 10 => "00000001001100001000000001010000", --addinq r6, r1, 0xA => nix
116 --                                 11 => "00010001001100001000000001010000", --addieq r6, r1, 0xA => r6 = 0xB
117 --                                 12 => "00010001101100110000000001010000", --subieq r6, r5, 0xA => r6 = 1
118 --                                 13 => "11100000000100001000000000000000", --add r2, r1, r0     => r2 = 1
119 --                                 14 => "11100010000100001000000000000000", --and r2, r1, r0     => r2 = 0
120 --                                 15 => "11101100000000001000000000000000", --cmp r0 , r1        => 0-1 => 0100
121 --                                 16 => "10000000001010101010000000000001", --addabd r5, r5, r4  => r5 = 6
122 --                                 17 => "10110011101110001000010000110001", --orxltd r7, 1086    => r7 = 1086
123 --                                 18 => "10110101001110001000010000000001", --shiftltd r7, r1, 1 => r7 = 2
124 --                                 19 => "01010101001110001000100000000001", --shiftltd r7, r1, 2 => r7 = 4
125 --                                others => x"F0000000");
126
127
128 begin
129         process(clk)
130         begin
131                 if rising_edge(clk) then
132         --       data_out <= ram(to_integer(UNSIGNED(rd_addr)));
133                         case rd_addr is
134                                 when "00000000000" => data_out <= x"ed2802d0"; -- ldi r5, 0x5a;;
135                                 when "00000000001" => data_out <= x"ed010058"; -- ldi r0, 0x200b;;
136                                 when "00000000010" => data_out <= x"e7a80000"; -- stw r5, 0(r0);;
137                                 when others => data_out <= "11101011000000000000000000000010";
138                         end case;
139                         
140                         if wr_en = '1' then
141                                 ram(to_integer(UNSIGNED(wr_addr))) <= data_in;
142                         end if;
143                 end if;
144         end process;
145 end architecture behaviour;