2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
5 use work.common_pkg.all;
12 RESET_VALUE : std_logic;
14 --LOGIC_ACT : std_logic
22 --exti : in extmod_rec;
24 alu_nxt : in alu_result_rec;
30 --addr : out gp_register_t;
31 --mem_en : out std_logic;
32 --ldst : out std_logic;
33 --, hw,byte: std_logic;
35 --exto : out data_ram_word_t
41 architecture behaviour of gpm is
42 type gpm_internal is record
44 end record gpm_internal;
46 reg, reg_nxt : gpm_internal;
49 syn : process (clk, reset)
50 if reset = RESET_VALUE then
51 reg <= (('0','0','0','0'));
52 elsif rising_edge(clk) then
57 asyn : process (clk, reset)
58 reg_nxt <= alu_nxt.status;
63 end architecture behaviour;