bootromfun: laut modelsim werden die instruktionen ins RAM geschrieben, aber ...
[calu.git] / cpu / src / fetch_stage_b.vhd
1 library IEEE;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
4
5 use work.core_pkg.all;
6 use work.common_pkg.all;
7 use work.mem_pkg.all;
8
9 architecture behav of fetch_stage is
10
11 signal instr_w_addr      : instruction_addr_t;
12 signal instr_r_addr      : instruction_addr_t;
13 signal instr_r_addr_nxt  : instruction_addr_t;
14 signal instr_we          : std_logic;
15 signal instr_wr_data     : instruction_word_t;
16 signal instr_rd_data_rom, instr_rd_data          : instruction_word_t;
17 signal rom_ram, rom_ram_nxt : std_logic;
18
19 begin
20
21         instruction_ram : r_w_ram --rom
22                 generic map (
23                         PHYS_INSTR_ADDR_WIDTH,
24                         WORD_WIDTH
25                 )
26                 
27                 port map (
28                         clk,
29                         im_addr(PHYS_INSTR_ADDR_WIDTH-1 downto 0),
30                         instr_r_addr_nxt(PHYS_INSTR_ADDR_WIDTH-1 downto 0),
31                         new_im_data_in,
32                         im_data,
33                         instr_rd_data
34                 );
35                 
36         instruction_rom : rom
37                 generic map (
38                         ROM_INSTR_ADDR_WIDTH,
39                         WORD_WIDTH
40                 )
41                 
42                 port map (
43                         clk,
44                         instr_r_addr_nxt(ROM_INSTR_ADDR_WIDTH-1 downto 0),
45                         instr_rd_data_rom
46                 );
47                 
48
49 syn: process(clk, reset)
50
51 begin
52
53         if (reset = RESET_VALUE) then
54                 instr_r_addr <= (others => '0');
55                 rom_ram <= ROM_USE;     
56         elsif rising_edge(clk) then
57                 instr_r_addr <= instr_r_addr_nxt;               
58                 rom_ram <= rom_ram_nxt;
59         end if;
60         
61 end process; 
62
63
64 asyn: process(reset, instr_r_addr, jump_result, prediction_result, branch_prediction_bit, alu_jump_bit, instr_rd_data, rom_ram, instr_rd_data_rom, int_req)
65
66 begin
67         rom_ram_nxt <= rom_ram;
68
69         case rom_ram is
70                 when ROM_USE =>
71                         instruction <= instr_rd_data_rom;
72                 when RAM_USE =>
73                         instruction <= instr_rd_data;
74                 when others => 
75                         instruction <= x"F0000000";
76         end case;
77         instr_r_addr_nxt <= std_logic_vector(unsigned(instr_r_addr) + 1);
78
79         if (instr_r_addr(ROM_INSTR_ADDR_WIDTH) = '1' and rom_ram = ROM_USE) then
80                 rom_ram_nxt <= RAM_USE;
81                 -- TODO: wenn genau auf adresse 0 im RAM ein br steht kracht es... :/
82                 instr_r_addr_nxt <= x"00000000";
83         end if;
84
85         if (reset = RESET_VALUE) then
86                 instr_r_addr_nxt <= (others => '0');
87         end if;
88
89         if (alu_jump_bit = LOGIC_ACT and int_req = IDLE) then
90                 instr_r_addr_nxt <= jump_result;
91                 instruction(31 downto 28) <= "1111";    
92         elsif (branch_prediction_bit = LOGIC_ACT) then
93                 instr_r_addr_nxt <= prediction_result;
94         end if; 
95
96         case int_req is
97                 when UART =>
98                         instruction(31 downto 0) <= (others => '0');
99                         instruction(31 downto 28) <= "1110";
100                         instruction(27 downto 23) <= "10110";
101                         instruction(PHYS_INSTR_ADDR_WIDTH + 7 - 1 downto 7) <= UART_INT_VECTOR;
102                         instruction(6 downto 4) <= "001";
103                         instruction(3 downto 2) <= "01";
104                         instruction(1 downto 0) <= "10";
105
106 --                      instr_r_addr_nxt <= instr_r_addr; 
107                 when others => null;
108         end case;
109
110 end process;
111
112 out_logic : process (instr_r_addr, alu_jump_bit, int_req, jump_result)
113
114 begin
115         prog_cnt(10 downto 0) <= std_logic_vector(unsigned(instr_r_addr(PHYS_INSTR_ADDR_WIDTH-1 downto 0)));
116         prog_cnt(31 downto 11) <= (others => '0');
117
118         if (int_req /= IDLE and alu_jump_bit = LOGIC_ACT ) then
119                 prog_cnt(10 downto 0) <= jump_result(10 downto 0);
120         end if;
121
122 end process;
123
124 led2 <= rom_ram;
125
126 end behav;
127