muh
[calu.git] / cpu / src / extension_lcd_8.vhd
1 library IEEE;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
4
5 use work.common_pkg.all;
6 use work.extension_pkg.all;
7 use work.extension_imp_pkg.all;
8
9 entity extension_lcd_8 is
10         generic ( RESET_VALUE : std_logic);
11         port(
12                         --System inputs
13                         clk :   in std_logic;
14                         reset : in std_logic;
15                         -- general extension interface
16                         ext_reg  : in extmod_rec;
17                         data_out : out gp_register_t;
18                         LCD_DATA : out std_logic_vector(7 downto 0);
19                         LCD_BLON : out std_logic;
20                         LCD_RW : out std_logic;
21                         LCD_EN : out std_logic;
22                         LCD_RS : out std_logic;
23                         LCD_ON : out std_logic
24                 );
25 end extension_lcd_8;