modified: interfaces according to SP operation
[calu.git] / cpu / src / extension_b.vhd
1 library IEEE;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
4
5 use work.common_pkg.all;
6 use work.core_pkg.all;
7
8 use work.mem_pkg.all;
9 use work.extension_pkg.all;
10
11 architecture behav of extension_gpm is
12
13 type pointers_t is array( 0 to ((2**(paddr_t'length))-1)) of ext_addr_t;
14
15 type gpm_internal is record
16     status : status_rec;
17         preg : pointers_t;
18 end record gpm_internal;
19
20 signal reg, reg_nxt : gpm_internal;
21
22
23 begin
24 syn : process (clk, reset)
25 begin
26         if (reset = RESET_VALUE) then
27                 reg.status <= (others=>'0');
28                 reg.pointers <= (others => (std_logic_vector(to_unsigned(DATA_END_ADDR,DATA_ADDR_WIDTH)));
29         elsif rising_edge(clk) then
30                 reg <= reg_nxt;
31         end if;
32 end process syn;
33
34 asyn : process (clk, reset, reg, psw_nxt, ext_reg, pval, pwr_en, pinc, paddr)
35         variable reg_nxt_v : gpm_internal;
36         variable incb : gp_register_t;
37         variable sel_pval : gp_register_t;
38         
39         variable data_out_v : gp_register_t;
40         variable data_v : gp_register_t;
41         variable tmp_data  : gp_register_t;
42 begin
43         reg_nxt_v := reg;
44         data_v  := ext_reg.data;
45
46         psw <= reg.status;
47         
48         data_out_v := (others => '0');
49
50         incb(0) := '1';
51         if pinc = '1' then
52                 incb(incb'high downto 1) := (others => '1');
53         else
54                 incb(incb'high downto 1) := (others => '0');
55         end if;
56         
57         if (ext_reg.sel = '1') and ext_reg.wr_en = '1' then
58                 case ext_reg.addr(1 downto 0) is
59                 when "00" => 
60                         if ext_reg.byte_en(0) = '1' then
61                                 reg_nxt_v.psw := (data_v(0), data_v(1), data_v(3), data_v(2));
62                                 psw <= reg_nxt_v.psw;
63                         end if;
64                 when "01" =>
65                         --STACK_POINTER
66                         tmp_data := (others =>'0');
67                         tmp_data(tmp_data'high downto BYTE_ADDR) := reg.preg(0);
68                         
69                         if ext_reg.byte_en(0) = '1' then
70                                 tmp_data(byte_t'range) := data_v(byte_t'range);
71                         end if;
72                         if ext_reg.byte_en(1) = '1' then
73                                 tmp_data((2*byte_t'length-1) downto byte_t'length) := data_v(2*byte_t'length-1) downto byte_t'length);
74                         end if;
75                         if ext_reg.byte_en(2) = '1' then
76                                 tmp_data((3*byte_t'length-1) downto 2*byte_t'length) := data_v(3*byte_t'length-1) downto 2*byte_t'length);
77                         end if;
78                         if ext_reg.byte_en(3) = '1' then
79                                 tmp_data((4*byte_t'length-1) downto 3*byte_t'length) := data_v(4*byte_t'length-1) downto 3*byte_t'length);
80                         end if;
81                         
82                         reg_nxt_v.preg(0) := tmp_data(tmp_data'high downto BYTE_ADDR);
83                 when others => null;
84                 end case;
85         end if;
86         
87         
88         if (ext_reg.sel = '1') and wr_en = '0' then
89                 case ext_reg.addr(1 downto 0) is
90                 when "00" => 
91                         if ext_reg.byte_en(0) = '1' then
92                                 data_out_v(3 downto 0) <= (reg.status.sign, reg.status.carry, reg.status.oflo, reg.status.zero);
93                         end if;
94                 when "01" =>
95                         --STACK_POINTER
96                         data_out_v(data_out_v'high downto BYTE_ADDR) := reg.preg(0);
97                 when others => null;
98                 end case;
99         end if;
100
101
102         sel_pval := reg_nxt_v.preg(unsigned(paddr));
103         
104         if pwr_en = '1' then
105                 reg_nxt_v.preg(to_integer(unsigned(paddr))) := std_logic_vector(unsigned(sel_pval)+unsigned(incb));
106         end if;
107
108         reg_nxt_v.status := psw_nxt;
109         
110         reg_nxt <= reg_nxt_v;
111         data_out <= data_out_v;
112         
113         pval <= (others =>'0');
114         pval(pval'high downto BYTE_ADDR) <= sel_pval;
115 end process asyn;
116
117 end behav;
118