Added interface types
[calu.git] / cpu / src / exec_op.vhd
1 library IEEE;\r
2 use IEEE.std_logic_1164.all;\r
3 use IEEE.numeric_std.all;\r
4 \r
5 entity exec_op is\r
6 \r
7         generic (\r
8                         -- active reset value\r
9                         RESET_VALUE : std_logic;\r
10                         -- active logic value\r
11                         LOGIC_ACT : std_logic\r
12                         \r
13                         );\r
14         port(\r
15                 --System inputs\r
16                         clk : in std_logic;\r
17                         reset : in std_logic;\r
18                 --\r
19                         \r
20                         \r
21                         alu_state : in alu_result_rec;\r
22                         alu_state_out : out alu_result_rec\r
23                 );\r
24                 \r
25 end execute_stage;\r