library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity exec_op is generic ( -- active reset value RESET_VALUE : std_logic; -- active logic value LOGIC_ACT : std_logic ); port( --System inputs clk : in std_logic; reset : in std_logic; -- alu_state : in alu_result_rec; alu_state_out : out alu_result_rec ); end execute_stage;