return added
[calu.git] / cpu / src / alu_b.vhd
1 library IEEE;\r
2 use IEEE.std_logic_1164.all;\r
3 use IEEE.numeric_std.all;\r
4 \r
5 use work.alu_pkg.all;\r
6 \r
7 \r
8 architecture behaviour of alu is\r
9         component exec_op is\r
10         port(\r
11                 --System inputs\r
12                 \r
13                 clk : in std_logic;\r
14                 reset : in std_logic;\r
15                 --operation inputs\r
16                 left_operand : in gp_register_t;\r
17                 right_operand : in gp_register_t;\r
18                 op_detail  : in op_opt_t;\r
19                 alu_state  : in alu_result_rec;\r
20                 alu_result : out alu_result_rec\r
21         );                      \r
22         end component exec_op;\r
23         \r
24         signal add_result, and_result, or_result, xor_result, shift_result : alu_result_rec;\r
25         signal left_o, right_o : gp_register_t;\r
26         \r
27 begin\r
28 \r
29         add_inst : entity work.exec_op(add_op)\r
30         port map(clk,reset,left_o, right_o, op_detail, alu_state, add_result);\r
31         \r
32         and_inst : entity work.exec_op(and_op)\r
33         port map(clk,reset,left_o, right_o, op_detail, alu_state, and_result);\r
34 \r
35         or_inst : entity work.exec_op(or_op)\r
36         port map(clk,reset,left_o, right_o, op_detail, alu_state, or_result);\r
37 \r
38         xor_inst : entity work.exec_op(xor_op)\r
39         port map(clk,reset,left_o, right_o, op_detail, alu_state, xor_result);\r
40         \r
41         shift_inst : entity work.exec_op(shift_op)\r
42         port map(clk,reset,left_o, right_o, op_detail, alu_state, shift_result);\r
43 \r
44 calc: process(left_operand, right_operand,displacement, cond, op_group, op_detail ,alu_state,and_result,add_result,or_result,xor_result,shift_result, prog_cnt,brpr, pval, pval_nxt)\r
45         variable result_v : alu_result_rec;\r
46         variable res_prod : std_logic;\r
47         variable cond_met : std_logic;\r
48         variable mem_en : std_logic;\r
49         variable mem_op : std_logic;\r
50         variable alu_jump : std_logic;\r
51         variable nop     : std_logic;\r
52         \r
53         variable pinc_v, pwr_en_v : std_logic;\r
54         \r
55         variable prog_cnt_nxt : std_logic_vector(prog_cnt'range);\r
56 begin\r
57         result_v := alu_state;\r
58         \r
59         res_prod := '1';\r
60         mem_en := '0';\r
61     mem_op := '0';\r
62         alu_jump := '0';\r
63   \r
64         left_o <= left_operand;\r
65         right_o <= right_operand;\r
66 \r
67         addr <= add_result.result;\r
68         data <= right_operand;\r
69         \r
70         pinc_v := '0';\r
71         pwr_en_v := '0';\r
72         \r
73         paddr <= (others =>'0');\r
74         \r
75         result_v.result := add_result.result;\r
76         prog_cnt_nxt := std_logic_vector(unsigned(prog_cnt)+1);\r
77         case cond is\r
78         when COND_NZERO =>\r
79                 cond_met := not(alu_state.status.zero);\r
80         when COND_ZERO =>\r
81                 cond_met := alu_state.status.zero;\r
82         when COND_NOFLO =>\r
83                 cond_met := not(alu_state.status.oflo);\r
84         when COND_OFLO =>\r
85                 cond_met := alu_state.status.oflo;\r
86         when COND_NCARRY =>\r
87                 cond_met := not(alu_state.status.carry);\r
88         when COND_CARRY =>\r
89                 cond_met := alu_state.status.carry;\r
90         when COND_NSIGN =>\r
91                 cond_met := not(alu_state.status.sign);\r
92         when COND_SIGN =>\r
93                 cond_met := alu_state.status.sign;\r
94         when COND_ABOVE =>\r
95                 cond_met := not(alu_state.status.carry) and not(alu_state.status.zero);\r
96         when COND_BEQ =>\r
97                 cond_met := alu_state.status.carry or alu_state.status.zero;\r
98         when COND_GEQ =>\r
99                 cond_met := not(alu_state.status.sign xor alu_state.status.oflo);\r
100         when COND_LT =>\r
101                 cond_met := alu_state.status.sign xor alu_state.status.oflo;\r
102         when COND_GT =>\r
103                 cond_met := not(alu_state.status.zero) and not(alu_state.status.sign xor alu_state.status.oflo);\r
104         when COND_LEQ =>\r
105                 cond_met := alu_state.status.zero or (alu_state.status.sign xor alu_state.status.oflo);\r
106         when COND_ALWAYS =>\r
107                 cond_met := '1';\r
108         when COND_NEVER =>\r
109                 cond_met := '0';\r
110         when others => null;\r
111         end case;\r
112         \r
113         nop := (alu_state.alu_jump xnor alu_state.brpr);\r
114         cond_met := cond_met and nop;\r
115 \r
116         case op_group is\r
117         when ADDSUB_OP =>\r
118                 result_v := add_result;\r
119         when AND_OP =>\r
120                 result_v := and_result;\r
121         when OR_OP =>\r
122                 result_v := or_result;\r
123         when XOR_OP =>\r
124                 result_v := xor_result;\r
125         when SHIFT_OP =>\r
126                 result_v := shift_result;\r
127         when LDST_OP =>\r
128                 res_prod := '0';\r
129                 mem_op := '1';\r
130                 if op_detail(IMM_OPT) = '1' then\r
131                         result_v.result := right_operand;\r
132                         res_prod := '1';\r
133                         mem_op := '0';\r
134                 end if;\r
135                 if op_detail(ST_OPT) = '1' then\r
136                         right_o <= displacement;\r
137                         mem_en := '1';\r
138                 end if;\r
139         when JMP_OP =>\r
140                 if op_detail(JMP_REG_OPT) = '0' then\r
141                         left_o <= prog_cnt;\r
142                 end if;\r
143                 alu_jump := '1';\r
144         when JMP_ST_OP => \r
145                 left_o <= prog_cnt;\r
146                 mem_en := '1';\r
147                 alu_jump := '1';\r
148                 mem_op := '1';\r
149                 pinc_v := '1';\r
150                 pwr_en_v := '1';\r
151                 paddr <= (others =>'0');\r
152                 \r
153                 addr <= pval;\r
154                 data <= prog_cnt_nxt;\r
155                 if op_detail(RET_OPT) = '1' then\r
156                         addr <= pval_nxt;\r
157                         mem_en := '0';\r
158                         pinc_v := '0';\r
159                         res_prod := '0';\r
160                 end if;\r
161                 \r
162         end case;\r
163         \r
164 \r
165         result_v.status.zero := '0';\r
166         if result_v.result = REG_ZERO then\r
167                 result_v.status.zero := '1';\r
168         end if;\r
169         \r
170         result_v.status.sign := result_v.result(gp_register_t'high);\r
171 \r
172         if (op_detail(NO_PSW_OPT) = '1') or (cond_met = '0') then\r
173                 result_v.status := alu_state.status;\r
174         end if;\r
175         \r
176         result_v.reg_op := not(op_detail(NO_DST_OPT)) and res_prod and cond_met;\r
177         result_v.mem_en := mem_en and cond_met;\r
178     result_v.mem_op := mem_op and cond_met;\r
179         result_v.alu_jump := alu_jump and cond_met;\r
180         result_v.brpr := brpr and nop;\r
181         \r
182         pwr_en_v := pwr_en_v and cond_met;\r
183         \r
184         if (result_v.alu_jump = '0') and (brpr = '1') then\r
185                 result_v.result := (others => '0');\r
186                 result_v.result(prog_cnt'range) := prog_cnt_nxt;\r
187                 --result_v.reg_op := '1';\r
188         end if;\r
189 \r
190         alu_result <= result_v;\r
191         pinc <= pinc_v;\r
192         pwr_en <= pwr_en_v;\r
193         \r
194 end process calc; \r
195 \r
196 end architecture behaviour;\r
197 \r