new testbench
[calu.git] / cpu / sim / wave.do
1 onerror {resume}
2 quietly WaveActivateNextPane {} 0
3 add wave -noupdate -expand -group system -format Logic /pipeline_tb/sys_clk_pin
4 add wave -noupdate -expand -group system -format Logic /pipeline_tb/sys_res_n_pin
5 add wave -noupdate -expand -group fetchstage -format Literal -radix hexadecimal /pipeline_tb/fetch_st/instr_r_addr
6 add wave -noupdate -expand -group fetchstage -format Literal -radix hexadecimal /pipeline_tb/fetch_st/instr_r_addr_nxt
7 add wave -noupdate -expand -group fetchstage -format Logic /pipeline_tb/fetch_st/branch_prediction_bit
8 add wave -noupdate -expand -group fetchstage -format Literal -radix hexadecimal /pipeline_tb/fetch_st/prediction_result
9 add wave -noupdate -expand -group decodestage -format Literal -radix hexadecimal /pipeline_tb/decode_st/instruction
10 add wave -noupdate -expand -group decodestage -format Literal -radix hexadecimal /pipeline_tb/decode_st/instr_spl
11 add wave -noupdate -expand -group decodestage -format Literal -radix hexadecimal /pipeline_tb/decode_st/to_next_stage
12 add wave -noupdate -expand -group decodestage -format Literal -radix hexadecimal /pipeline_tb/decode_st/reg1_mem_data
13 add wave -noupdate -expand -group decodestage -format Literal -radix hexadecimal /pipeline_tb/decode_st/reg2_mem_data
14 add wave -noupdate -expand -group decodestage -format Literal -radix hexadecimal /pipeline_tb/decode_st/rtw_rec_nxt
15 add wave -noupdate -expand -group decodestage -format Literal -radix hexadecimal /pipeline_tb/decode_st/rtw_rec
16 add wave -noupdate -expand -group decodestage -format Literal -radix hexadecimal /pipeline_tb/decode_st/reg_w_addr
17 add wave -noupdate -expand -group decodestage -format Literal -radix hexadecimal /pipeline_tb/decode_st/reg_wr_data
18 add wave -noupdate -expand -group decodestage -format Logic -radix hexadecimal /pipeline_tb/decode_st/reg_we
19 add wave -noupdate -expand -group execstage -format Literal -radix hexadecimal /pipeline_tb/exec_st/gpm_inst/psw
20 TreeUpdate [SetDefaultTree]
21 WaveRestoreCursors {{Cursor 1} {0 ns} 0}
22 configure wave -namecolwidth 150
23 configure wave -valuecolwidth 100
24 configure wave -justifyvalue left
25 configure wave -signalnamewidth 1
26 configure wave -snapdistance 10
27 configure wave -datasetprefix 0
28 configure wave -rowmargin 4
29 configure wave -childrowmargin 2
30 configure wave -gridoffset 0
31 configure wave -gridperiod 1
32 configure wave -griddelta 40
33 configure wave -timeline 0
34 configure wave -timelineunits ns
35 update
36 WaveRestoreZoom {4050 ns} {5050 ns}