extension: instanziert in tb und toplvlentity sowie in den vsim dofiles
[calu.git] / cpu / sim / testcore1.do
1 vlib work
2 vmap work work
3
4 vcom -work work ../src/mem_pkg.vhd
5 vcom -work work ../src/r_w_ram.vhd
6 vcom -work work ../src/r_w_ram_b.vhd
7 vcom -work work ../src/r2_w_ram.vhd
8 vcom -work work ../src/r2_w_ram_b.vhd
9 vcom -work work ../src/common_pkg.vhd
10 vcom -work work ../src/core_pkg.vhd
11 vcom -work work ../src/decoder.vhd
12 vcom -work work ../src/decoder_b.vhd
13 vcom -work work ../src/fetch_stage.vhd
14 vcom -work work ../src/fetch_stage_b.vhd
15 vcom -work work ../src/decode_stage.vhd
16 vcom -work work ../src/decode_stage_b.vhd
17
18 vcom -work work ../src/alu_pkg.vhd
19 vcom -work work ../src/extension_pkg.vhd
20 vcom -work work ../src/gpm_pkg.vhd
21
22 vcom -work work ../src/exec_op.vhd
23 vcom -work work ../src/exec_op/add_op_b.vhd
24 vcom -work work ../src/exec_op/and_op_b.vhd
25 vcom -work work ../src/exec_op/or_op_b.vhd
26 vcom -work work ../src/exec_op/xor_op_b.vhd
27 vcom -work work ../src/exec_op/shift_op_b.vhd
28
29 vcom -work work ../src/alu.vhd
30 vcom -work work ../src/alu_b.vhd
31
32 vcom -work work ../src/gpm.vhd
33 vcom -work work ../src/gpm_b.vhd
34
35 vcom -work work ../src/extension_pkg.vhd
36 vcom -work work ../src/extension.vhd
37 vcom -work work ../src/extension_b.vhd
38
39 vcom -work work ../src/execute_stage.vhd
40 vcom -work work ../src/execute_stage_b.vhd
41
42
43 vcom -work work ../src/writeback_stage.vhd
44 vcom -work work ../src/writeback_stage_b.vhd
45
46 vcom -work work ../src/pipeline_tb.vhd
47
48 vsim work.pipeline_conf_beh -t ns
49
50 add wave  -group system -format logic /pipeline_tb/sys_clk_pin
51 add wave  -group system -format logic /pipeline_tb/sys_res_n_pin
52
53 add wave  -group fetchstage -radix hexadecimal /pipeline_tb/fetch_st/instr_r_addr_nxt
54 add wave  -group fetchstage -radix hexadecimal /pipeline_tb/fetch_st/instr_r_addr
55 add wave  -group fetchstage -radix hexadecimal /pipeline_tb/fetch_st/instr_rd_data
56 add wave  -group fetchstageregister -radix hexadecimal /pipeline_tb/fetch_st/instruction
57 add wave  -group fetchstage -format logic /pipeline_tb/fetch_st/branch_prediction_bit
58 add wave  -group fetchstage -radix hexadecimal /pipeline_tb/fetch_st/prediction_result
59
60 add wave  -group decodestage -radix hexadecimal /pipeline_tb/decode_st/instruction
61 add wave  -group decodestage -radix hexadecimal /pipeline_tb/decode_st/instr_spl
62 add wave  -group decodestage -radix hexadecimal /pipeline_tb/decode_st/instr_spl.reg_dest_addr
63 add wave  -group decodestage -radix hexadecimal /pipeline_tb/decode_st/instr_spl.reg_src1_addr
64 add wave  -group decodestage -radix hexadecimal /pipeline_tb/decode_st/instr_spl.reg_src2_addr
65
66 add wave  -group decodestage -radix hexadecimal /pipeline_tb/decode_st/reg_we
67 add wave  -group decodestage -radix hexadecimal /pipeline_tb/decode_st/reg_w_addr
68 add wave  -group decodestage -radix hexadecimal /pipeline_tb/decode_st/reg_wr_data
69
70
71
72
73 add wave  -group decodestageregister -radix hexadecimal /pipeline_tb/decode_st/reg1_mem_data
74 add wave  -group decodestageregister -radix hexadecimal /pipeline_tb/decode_st/reg2_mem_data
75 add wave  -group decodestageregister -radix hexadecimal /pipeline_tb/decode_st/rtw_rec
76 add wave  -group decodestageregister -radix hexadecimal /pipeline_tb/decode_st/rtw_rec.rtw_reg1
77 add wave  -group decodestageregister -radix hexadecimal /pipeline_tb/decode_st/rtw_rec.rtw_reg2
78 add wave  -group decodestageregister -radix hexadecimal /pipeline_tb/decode_st/to_next_stage
79 add wave  -group decodestageregister -radix hexadecimal /pipeline_tb/decode_st/to_next_stage.src1
80 add wave  -group decodestageregister -radix hexadecimal /pipeline_tb/decode_st/to_next_stage.src2
81
82
83
84
85
86
87 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/dec_instr
88 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/dec_instr.daddr
89 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/dec_instr.saddr1
90 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/dec_instr.saddr2
91 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/dec_instr.src1
92 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/dec_instr.src2
93 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/reg_we
94 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/reg_addr
95 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/regfile_val
96 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/alu_inst/left_operand
97 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/alu_inst/right_operand
98 add wave  -group execstage -radix hexadecimal /pipeline_tb/exec_st/reg_nxt
99
100
101 add wave  -group execstageregister -radix hexadecimal /pipeline_tb/exec_st/gpm_inst/psw
102 add wave  -group execstageregister -radix hexadecimal /pipeline_tb/exec_st/reg
103 add wave  -group writebackstage -radix hexadecimal /pipeline_tb/writeback_st/result
104 add wave  -group writebackstage -radix hexadecimal /pipeline_tb/writeback_st/result_addr
105 add wave  -group writebackstage -radix hexadecimal /pipeline_tb/writeback_st/alu_jmp
106 add wave  -group writebackstage -radix hexadecimal /pipeline_tb/writeback_st/br_pred
107 add wave  -group writebackstage -radix hexadecimal /pipeline_tb/writeback_st/write_en
108 add wave  -group writebackstage -radix hexadecimal /pipeline_tb/writeback_st/address
109
110 add wave  -group writebackstageregister -radix hexadecimal /pipeline_tb/writeback_st/reg_we
111 add wave  -group writebackstageregister -radix hexadecimal /pipeline_tb/writeback_st/reg_addr
112 add wave  -group writebackstageregister -radix hexadecimal /pipeline_tb/writeback_st/regfile_val
113
114
115
116 run 5000 ns