uart_tx: jaja, 0x42 (= b"0100 0010", 'B') ist kein gutes zeichen zum UART testen ;)
[hwmod.git] / src / beh_uart_rx_tb.do
2010-05-25 Alexander OhMerge branch 'master' of git@wien.tomnetworks.com:hwmod
2010-05-25 Bernhard Urbanuart_rx: rewrite inkl. testbench
2010-05-19 Alexander Ohadded initial uart_rx files, not exaustively tested...