tabellen: PS/2 und RS232
authorBernhard Urban <lewurm@gmail.com>
Wed, 24 Mar 2010 22:52:08 +0000 (23:52 +0100)
committerBernhard Urban <lewurm@gmail.com>
Wed, 24 Mar 2010 23:00:41 +0000 (00:00 +0100)
spec/ProtocolHeader.sty
spec/spec.tex

index 232631c30b9dc0551301c90b6ff4e4ef204b83f4..179b38b9841ee51a6afb9e76c997f8ee19c1e945 100644 (file)
 }\r
 \r
 \newcommand{\ZE}{\\ \hline}\r
-\newcommand{\THEAD}[2]{\r
+\newcommand{\THEAD}{\r
        \begin{center}\r
-       \begin{table}\r
-       \caption{#2}\r
-       \label{tab:#1}\r
        \begin{longtable}{|l|l|r|l|l|p{6cm}|}\r
        \hline\r
        \textbf{Bezeichnung} & \textbf{Direction} & \textbf{Breite} &\r
 }\r
 \newcommand{\TEND}{\r
        \end{longtable}\r
-       \end{table}\r
        \end{center}\r
 }\r
index fba8fb4fe2955532d4bf8d8ff45906b19f19ca45..35bdffb16031f80d908d93b0423dbba42453d204 100644 (file)
@@ -114,40 +114,10 @@ to VGA'' unter ``physical interfaces'' (seite 10 in der exercise.pdf)
 Ersteres wuerde ich unter Logisches Interface verstehen.
 
 \subsubsection{Logische Interfaces der Module}
-
-%Bla:
-%
-%ps/2 schickt zeichen an controller, der nimmt nur gewünschte chars und schreibt die in die history
-%in die editierbare ``eingabezeile''.
-%
-%dann bei einem "enter" sagt er dem parser dass der was hackeln soll
-%
-%der holt sich selbstständig den string aus der history und analysiert ihn mal - also ob es ein gültiger string ist
-%
-%dann brauchen wir schleifen, eine äußere für die strichrechnung und eine innere für die punktrechnung (k.a. wie das in vhdl geht)
-%
-%jedenfalls müssen bei z.b. a + b * c die b*c zuerst ausgerechnet werden
-%
-%diese einzelnen rechnungen - also z.b. b*c - schickt der parser an die alu die das ausrechnet und dann asynchron an den parser zurückschickt - das geht so lange weiter bis der ganze string abgearbeitet ist
-%
-%der parser muss bei den zwischenrechnungen die zwischenergebnisse im speicher behalten
-%
-%wenn er fertig ist liefert er das ergebnis an die history und benachrichtigt den controller dass er fertig ist
-%
-%achja, die zahlen zur/von der history muss der parser zum converter schicken - das geht leider nicht als zwischenstufe zwischen parser und history weil der parser sich einen erst zu analysierenden string von der history holt - es ist auch nicht sinnvoll zwischen alu und parser, weil zwischenergebnisse nicht neu umgewandelt werden müssen
-%
-%der controller verursacht dann den zeilenvorschub um 2 zeilen in der history (ringpuffer, index vorandrehen). eigentlich braucht der controller dem display modul nichts mitteilen, oder?
-%
-%es sollte vielleicht der controller das display modul veranlasen sich die daten aus der history zu holen (könnte auch die history)
-%
-%TODO Module soll der Parser in einer "`Schleife"' alle Teilberechnungen an die ALU weiterleiten und zB Zwischenergebnisse speichern? Die ALU könnte dann nur 2 Zahlen addieren/bla.
-%Da in der History Zahlen als Character Strings abgelegt sind müssen diese für die ALU in Binärdarstellung umgewandelt werden - und Umgekehrt natürlich!
-
 \begin{landscape}
-\paragraph{VGA}
-siehe Tabelle \ref{tab:vga}.
 
-\THEAD{vga}{Interfaces f\"ur das VGA Modul}
+\paragraph{VGA}
+\THEAD
 vga\_clk & in & 1 & std\_logic & PLL & VGA-Clock \ZE
 vga\_res\_n & in & 1 & std\_logic & PLL & VGA-Reset \ZE
 
@@ -163,30 +133,29 @@ command\_data & in & 32 & std\_logic\_vector & Display & Daten f\"ur das Kommand
 free & in & 1 & std\_logic & Display & Signalisiert Bereitschaft \ZE
 \TEND
 
-\paragraph{PS/2}
-
-ebenso hier?
 
-vorgegeben:
-
-allgemein: sys\_clk (in), sys\_res\_n (in)
+\paragraph{PS/2}
+\THEAD
+new\_data & out & 1 & std\_logic\_vector & Scanner & Signalisiert neuen Scancode \ZE
+data & out & 8 & std\_logic\_vector & Scanner & Scancode laut Codepage 850 \ZE
 
-zum modul scanner: 1 bit new\_data (out), 8 bit data (out)
+ps2\_clk & inout & 1 & std\_logic & Tastatur & Clockleitung zum Keyboard \ZE
+ps2\_data & inout & 1 & std\_logic & Tastatur & Datenleitung zum Keyboard \ZE
+\TEND
 
-zu den hardware pins: 1 bit ps2\_clk (in), 1 bit ps2\_data (in)
 
 \paragraph{RS232}
-
-TODO
-
 %Senden und Empfangen von Nachrichten vom/zum PC über die serielle Schnittstelle
-Wir brauchen:
+\THEAD
+rxd & in & 1 & std\_logic & PC & Sendeleitung der seriellen \"Ubertragung \ZE
+txd & out & 1 & std\_logic & PC & Empfangsleitung der seriellen \"Ubertragung \ZE
 
-allgemein: sys\_clk (in), sys\_res\_n (in)
-
-externe Pins für die Schnittstelle (Data carrier detect, Receive data (in), Transmit data (out), Data terminal ready, Signal ground, Data set ready, Request to send, Clear to send, Ring indicator ?brauchen wir die alle?)
+rx\_data & out & 8 & std\_logic\_vector & PC-Kommunikation & Datenfeld f\"ur das Empfangen \ZE
+rx\_new & out & 1 & std\_logic & PC-Kommunikation & Signalisiert ein neu empfangendes Byte \ZE
 
-zum modul pc-kommunikation: 8 Empfangsbits (out), 1 Received Flag (out), 8 Sendebits (in), 1 Sendflag (in)
+tx\_data & out & 8 & std\_logic\_vector & PC-Kommunikation & Datenfeld f\"ur das \"Ubertragen \ZE
+tx\_new & out & 1 & std\_logic & PC-Kommunikation & Signalisiert dass das anliegende Byte gesendet werden soll \ZE
+\TEND
 
 \paragraph{Scanner}