makefile fuer abgabe archiv
authorBernhard Urban <lewurm@gmail.com>
Mon, 24 May 2010 20:04:37 +0000 (22:04 +0200)
committerBernhard Urban <lewurm@gmail.com>
Mon, 24 May 2010 20:04:37 +0000 (22:04 +0200)
.gitignore
Makefile [new file with mode: 0644]

index 2bec035a1b9de12d02acc4565d72b61a7e652014..34721068c010f3c28fd6a439735a6bb452f8c4b3 100644 (file)
@@ -27,3 +27,6 @@ quartus/project_tilab.tcl
 
 #stuff
 tags
+
+#abgabe
+abgabe_g20_*.zip
diff --git a/Makefile b/Makefile
new file mode 100644 (file)
index 0000000..5adebb0
--- /dev/null
+++ b/Makefile
@@ -0,0 +1,28 @@
+# makefile zum erstellen des abgabearchives
+SHELL := bash
+
+all: abgabe
+
+
+#HINWEIS: bitte NUR im tilab ausfuehren fuer die abgabe
+#./linux_tilab.sh koennte eventuell mit der web edition nicht klappen
+
+
+#Die Abgabe erfolgt als Archiv (zip), welches euren gesamten Projektordner beinhaltet:
+abgabe:
+       make -C src/ clean
+       make -C spartan3e/ clean
+       rm -f **/**tags
+       rm -Rf quartus/calc
+       cd quartus; ./linux_tilab.sh
+       zip -r abgabe_g20_`git rev-parse --short HEAD`.zip src/ quartus/ sim/
+       #1. VHDL Sourcefiles
+       #2. Quartus-Projekt (für Quartus 9.1)
+       #  Das Projekt sollte direkt nach dem Entpacken kompilierbar sein, so dass
+       #  während des Abgabegesprächs ein downloadbares sof-File erzeugt werden
+       #  kann.
+       #3. Testbenches und Screenshots der durchgeführten Simulationen (Behavioral
+       #  und evtl. Post-layout). Es müssen mindestens für drei verschiedene
+       #  Komponenten Simulationen durchgeführt und mit Screenshots dokumentiert
+       #  werden. Beim Abgabegespräch werden dann Fragen zu den Simulationen
+       #  gestellt.