fixed processes to be never rerunning an use loops instead, this makes the code less...
[hwmod.git] / src / pc_communication.vhd
index 4bc09d4c9bd319f745f6a40bd5e9df4071099a93..06884f00a28e858ad72b76972c9e7b63ecd50c21 100644 (file)
@@ -38,7 +38,7 @@ architecture beh of pc_communication is
        signal get, get_next : std_logic;
        signal new_i, new_i_next : std_logic;
        signal tx_done_i, tx_done_i_next : std_logic;
-       signal d_done_i, d_done_i_next : std_logic;
+       signal d_done_i : std_logic;
 
        signal char, char_next : hbyte;
        signal char_en : std_logic;
@@ -54,7 +54,7 @@ begin
        char_next <= d_char;
        tx_new <= new_i;
        d_done_i <= d_done;
-       tx_done_i <= tx_done;
+       tx_done_i_next <= tx_done;
 
        sync: process (sys_clk, sys_res_n)
        begin
@@ -67,6 +67,7 @@ begin
                        new_i <= '0';
                        tx_data <= "00000000";
                        spalte_up <= '0';
+                       tx_done_i <= '0';
                elsif rising_edge(sys_clk) then
                        push_history <= push_history_next;
                        spalte <= spalte_next;
@@ -74,6 +75,7 @@ begin
                        state <= state_next;
                        get <= get_next;
                        new_i <= new_i_next;
+                       tx_done_i <= tx_done_i_next;
                        spalte_up <= spalte_up_next;
                        if (char_en = '1') then
                                char <= char_next;
@@ -112,7 +114,7 @@ begin
                end if;
        end process async_push_history;
 
-       output_pc : process (state, zeile, spalte, char)
+       output_pc : process (state, zeile, spalte, char, tx_done_i)
        begin
                get_next <= '0';
                new_i_next <= '0';
@@ -127,7 +129,7 @@ begin
                                char_en <= '0';
                                tx_data <= char;
                                new_i_next <= '1';
-                               if (tx_done = '1') then
+                               if (tx_done_i = '1') then
                                        spalte_up_next <= '1';
                                end if;
                        when DONE =>
@@ -135,7 +137,7 @@ begin
                end case;
        end process output_pc;
 
-       next_state_pc : process (rx_new, btn_a, d_done, tx_done)
+       next_state_pc : process (rx_new, btn_a, d_done, tx_done_i)
        begin
                case state is
                        when IDLE =>
@@ -148,7 +150,7 @@ begin
                                        state_next <= FORWARD;
                                end if;
                        when FORWARD =>
-                               if (tx_done = '1') then
+                               if (tx_done_i = '1') then
                                        state_next <= FETCH;
                                end if;
                        when DONE =>