uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / beh_display_tb.vhd
index 6b0b19b762e273a991ed33afb4b6c0255d0bcb7c..f1db735c297b08ee4f8d0f859259ba9d7b87b794 100644 (file)
@@ -29,7 +29,7 @@ architecture sim of beh_display_tb is
        signal stop : boolean := false;
 begin
        -- display
-       inst : entity work.display(beh)
+       inst : display
        port map (
                sys_clk => sys_clk,
                sys_res_n => sys_res_n,
@@ -59,6 +59,17 @@ begin
                end if;
        end process;
 
+       process
+       begin
+               free <= '0';
+               wait for 15 ns;
+               free <= '1';
+               wait for 30 ns;
+               if stop = true then
+                       wait;
+               end if;
+       end process;
+
        process
                variable input : hstring := "123513                                                                  ";
                variable ctmp : character;
@@ -73,7 +84,6 @@ begin
                d_new_bs <= '0';
                d_done <= '0';
                d_char <= x"00";
-               free <= '1';
 
                icwait(sys_clk, 5);
                sys_res_n <= '1';
@@ -93,17 +103,6 @@ begin
                        icwait(sys_clk, 1);
                        d_done <= '0';
 
-                       free <= '0';
-                       icwait(sys_clk, 1);
-                       free <= '1';
-                       icwait(sys_clk, 1);
-
-                       -- fuer NOP
-                       free <= '0';
-                       icwait(sys_clk, 1);
-                       free <= '1';
-                       icwait(sys_clk, 1);
-
                        i := i + 1;
                end loop;
 
@@ -112,21 +111,6 @@ begin
                icwait(sys_clk, 2);
                d_new_result <= '0';
 
-               -- fuer NOP
-               free <= '0';
-               icwait(sys_clk, 1);
-               free <= '1';
-               icwait(sys_clk, 2);
-
-               -- fuer NOP
-               free <= '0';
-               icwait(sys_clk, 1);
-               free <= '1';
-               icwait(sys_clk, 1);
-               icwait(sys_clk, 1);
-
-
-
                if checkall then
                        report "alle testfaelle des Displays waren erfolgreich!";
                else