uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / textmode_vga / video_memory.vhd
1 -------------------------------------------------------------------------\r
2 --\r
3 -- Filename: video_memory.vhd\r
4 -- =========\r
5 --\r
6 -- Short Description:\r
7 -- ==================\r
8 --   Video memory entity declaraton\r
9 --\r
10 -------------------------------------------------------------------------\r
11 \r
12 library ieee;
13 use ieee.std_logic_1164.all;
14
15 entity video_memory is
16   generic
17   (
18     DATA_WIDTH     : integer;
19     ROW_ADDR_WIDTH : integer;
20     COL_ADDR_WIDTH : integer
21   );
22   port
23   (
24     vga_clk : in  std_logic;    
25     vga_row_address    : in  std_logic_vector(ROW_ADDR_WIDTH - 1 downto 0);
26     vga_col_address    : in  std_logic_vector(COL_ADDR_WIDTH - 1 downto 0);
27     vga_data           : out std_logic_vector(DATA_WIDTH - 1 downto 0);
28     sm_row_address  : in  std_logic_vector(ROW_ADDR_WIDTH - 1 downto 0);
29     sm_col_address  : in  std_logic_vector(COL_ADDR_WIDTH - 1 downto 0);
30     sm_data         : in  std_logic_vector(DATA_WIDTH - 1 downto 0);
31     sm_wr           : in  std_logic;
32     sm_scroll_address     : in  std_logic_vector(ROW_ADDR_WIDTH - 1 downto 0)
33   );
34 end entity video_memory;