uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / textmode_vga / textmode_vga_h_sm.vhd
1 -------------------------------------------------------------------------\r
2 --\r
3 -- Filename: textmode_vga_h_sm.vhd\r
4 -- =========\r
5 --\r
6 -- Short Description:\r
7 -- ==================\r
8 --   Entity declaration of the horizontal VGA timing finite state machine\r
9 --\r
10 -------------------------------------------------------------------------\r
11 \r
12 library ieee;
13 use ieee.std_logic_1164.all;
14 use work.math_pkg.all;
15 use work.textmode_vga_pkg.all;
16 use work.textmode_vga_platform_dependent_pkg.all;
17 use work.font_pkg.all;
18
19 entity textmode_vga_h_sm is
20   port
21   (
22     sys_clk, sys_res_n : in std_logic;
23     
24     background_color : in  std_logic_vector(RED_BITS + GREEN_BITS + BLUE_BITS - 1 downto 0);
25
26     char_cnt         : out std_logic_vector(log2c(COLUMN_COUNT) - 1 downto 0);
27     char_line_cnt    : in  std_logic_vector(log2c(LINE_COUNT) - 1 downto 0);
28     cursor_column    : in  std_logic_vector(log2c(COLUMN_COUNT) - 1 downto 0);
29     cursor_line      : in  std_logic_vector(log2c(LINE_COUNT) - 1 downto 0);
30     cursor_color     : in  std_logic_vector(RED_BITS + GREEN_BITS + BLUE_BITS - 1 downto 0);
31     cursor_state     : in  CURSOR_STATE_TYPE;
32     decoded_char     : in  std_logic_vector(0 to CHAR_WIDTH - 1);
33     color            : in  std_logic_vector(RED_BITS + GREEN_BITS + BLUE_BITS - 1 downto 0);
34     
35     is_data_line : in std_logic;
36     is_eol : out std_logic;
37     
38     hsync_n : out std_logic;
39     rgb : out std_logic_vector(RED_BITS + GREEN_BITS + BLUE_BITS - 1 downto 0);
40     blink : in std_logic
41   );
42 end entity textmode_vga_h_sm;