uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / textmode_vga / textmode_vga.vhd
1 -------------------------------------------------------------------------\r
2 --\r
3 -- Filename: textmode_vga.vhd\r
4 -- =========\r
5 --\r
6 -- Short Description:\r
7 -- ==================\r
8 --   Toplevel entity of the textmode VGA controller.\r
9 --\r
10 -------------------------------------------------------------------------\r
11 \r
12 library ieee;
13 use ieee.std_logic_1164.all;
14 use work.textmode_vga_pkg.all;
15 use work.textmode_vga_platform_dependent_pkg.all;
16
17 entity textmode_vga is
18   generic
19   (\r
20     -- Clock frequency used as basis for the VGA timing
21     VGA_CLK_FREQ : integer;\r
22     -- Blink interval of the cursor give in miliseconds
23     BLINK_INTERVAL_MS : integer;\r
24     -- Number of stages used in synchronizers
25     SYNC_STAGES : integer
26   );
27   port
28   (\r
29     -- Interface to user logic
30     sys_clk, sys_res_n : in std_logic;  
31     command : in std_logic_vector(COMMAND_SIZE - 1 downto 0);
32     command_data : in std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE - 1 downto 0);
33     free : out std_logic;
34 \r
35     -- External VGA interface
36     vga_clk, vga_res_n : in std_logic;    
37     vsync_n : out std_logic;
38     hsync_n : out std_logic;
39     r : out std_logic_vector(RED_BITS - 1 downto 0);
40     g : out std_logic_vector(GREEN_BITS - 1 downto 0);
41     b : out std_logic_vector(BLUE_BITS - 1 downto 0)
42   );
43 end entity textmode_vga;