1 -------------------------------------------------------------------------
\r
3 -- Filename: interval_beh.vhd
\r
6 -- Short Description:
\r
7 -- ==================
\r
8 -- Behavioral implementaiton of the interval timer
\r
10 -------------------------------------------------------------------------
\r
13 use ieee.std_logic_1164.all;
\r
15 architecture beh of interval is
\r
16 constant CNT_MAX : integer := INTERVAL_TIME_MS * (CLK_FREQ / 1000);
\r
17 signal cnt : integer range 0 to CNT_MAX - 1;
\r
18 signal active_int : std_logic;
\r
20 active <= active_int;
\r
26 elsif rising_edge(clk) then
\r
27 if cnt < CNT_MAX - 1 then
\r
31 active_int <= not active_int;
\r
35 end architecture beh;
\r