1 -------------------------------------------------------------------------
\r
3 -- Filename: font_rom_beh.vhd
\r
6 -- Short Description:
\r
7 -- ==================
\r
8 -- Behavioral architecture of the font ROM entity.
\r
10 -------------------------------------------------------------------------
\r
13 use ieee.std_logic_1164.all;
14 use ieee.numeric_std.all;
15 use work.font_pkg.all;
17 architecture beh of font_rom is
20 variable address : std_logic_vector(log2c(CHAR_COUNT) + log2c(CHAR_HEIGHT) - 1 downto 0);
22 if rising_edge(vga_clk) then
23 address := char & char_height_pixel;
24 decoded_char <= FONT_TABLE(to_integer(unsigned(address)));