uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / textmode_vga / font_rom.vhd
1 -------------------------------------------------------------------------\r
2 --\r
3 -- Filename: font_rom.vhd\r
4 -- =========\r
5 --\r
6 -- Short Description:\r
7 -- ==================\r
8 --   Font ROM entity declaraton\r
9 --\r
10 -------------------------------------------------------------------------\r
11 \r
12 library ieee;
13 use ieee.std_logic_1164.all;
14 use work.font_pkg.all;
15 use work.math_pkg.all;
16
17 entity font_rom is
18   port
19   (
20     vga_clk : in std_logic;
21     char : in std_logic_vector(log2c(CHAR_COUNT) - 1 downto 0);
22     char_height_pixel : in std_logic_vector(log2c(CHAR_HEIGHT) - 1 downto 0);
23     decoded_char : out std_logic_vector(0 to CHAR_WIDTH - 1)
24   );
25 end entity font_rom;