uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / textmode_vga / font_pkg.vhd
1 -------------------------------------------------------------------------\r
2 --\r
3 -- Filename: font_pkg.vhd\r
4 -- =========\r
5 --\r
6 -- Short Description:\r
7 -- ==================\r
8 --   Constant declaration of the font ROM. The number of\r
9 --   defined encodings, the character dimension and the\r
10 --   encoding itself are defiend in this package.\r
11 --\r
12 -------------------------------------------------------------------------\r
13 \r
14 library ieee;
15 use ieee.std_logic_1164.all;
16 use ieee.numeric_std.all;
17 use work.textmode_vga_pkg.all;
18 use work.math_pkg.all;
19
20 package font_pkg is
21   constant CHAR_COUNT : integer := 256;
22   constant CHAR_WIDTH : integer := 8;
23   constant CHAR_HEIGHT : integer := 16;
24   constant COLUMN_COUNT : integer := PIXEL_WIDTH / CHAR_WIDTH;
25   constant LINE_COUNT : integer := PIXEL_HEIGHT / CHAR_HEIGHT;
26   type FONT_TYPE is array (0 to 2 ** (log2c(CHAR_COUNT) + log2c(CHAR_HEIGHT)) - 1) of std_logic_vector(0 to CHAR_WIDTH - 1);
27   constant FONT_TABLE : FONT_TYPE :=
28   (
29     "00000000",
30     "00000000",
31     "00000000",
32     "00000000",
33     "00000000",
34     "00000000",
35     "00000000",
36     "00000000",
37     "00000000",
38     "00000000",
39     "00000000",
40     "00000000",
41     "00000000",
42     "00000000",
43     "00000000",
44     "00000000",
45     "00000000",
46     "00000000",
47     "01111110",
48     "10000001",
49     "10100101",
50     "10000001",
51     "10000001",
52     "10111101",
53     "10011001",
54     "10000001",
55     "10000001",
56     "01111110",
57     "00000000",
58     "00000000",
59     "00000000",
60     "00000000",
61     "00000000",
62     "00000000",
63     "01111110",
64     "11111111",
65     "11011011",
66     "11111111",
67     "11111111",
68     "11000011",
69     "11100111",
70     "11111111",
71     "11111111",
72     "01111110",
73     "00000000",
74     "00000000",
75     "00000000",
76     "00000000",
77     "00000000",
78     "00000000",
79     "00000000",
80     "00000000",
81     "01101100",
82     "11111110",
83     "11111110",
84     "11111110",
85     "11111110",
86     "01111100",
87     "00111000",
88     "00010000",
89     "00000000",
90     "00000000",
91     "00000000",
92     "00000000",
93     "00000000",
94     "00000000",
95     "00000000",
96     "00000000",
97     "00010000",
98     "00111000",
99     "01111100",
100     "11111110",
101     "01111100",
102     "00111000",
103     "00010000",
104     "00000000",
105     "00000000",
106     "00000000",
107     "00000000",
108     "00000000",
109     "00000000",
110     "00000000",
111     "00000000",
112     "00011000",
113     "00111100",
114     "00111100",
115     "11100111",
116     "11100111",
117     "11100111",
118     "00011000",
119     "00011000",
120     "00111100",
121     "00000000",
122     "00000000",
123     "00000000",
124     "00000000",
125     "00000000",
126     "00000000",
127     "00000000",
128     "00011000",
129     "00111100",
130     "01111110",
131     "11111111",
132     "11111111",
133     "01111110",
134     "00011000",
135     "00011000",
136     "00111100",
137     "00000000",
138     "00000000",
139     "00000000",
140     "00000000",
141     "00000000",
142     "00000000",
143     "00000000",
144     "00000000",
145     "00000000",
146     "00000000",
147     "00011000",
148     "00111100",
149     "00111100",
150     "00011000",
151     "00000000",
152     "00000000",
153     "00000000",
154     "00000000",
155     "00000000",
156     "00000000",
157     "11111111",
158     "11111111",
159     "11111111",
160     "11111111",
161     "11111111",
162     "11111111",
163     "11100111",
164     "11000011",
165     "11000011",
166     "11100111",
167     "11111111",
168     "11111111",
169     "11111111",
170     "11111111",
171     "11111111",
172     "11111111",
173     "00000000",
174     "00000000",
175     "00000000",
176     "00000000",
177     "00000000",
178     "00111100",
179     "01100110",
180     "01000010",
181     "01000010",
182     "01100110",
183     "00111100",
184     "00000000",
185     "00000000",
186     "00000000",
187     "00000000",
188     "00000000",
189     "11111111",
190     "11111111",
191     "11111111",
192     "11111111",
193     "11111111",
194     "11000011",
195     "10011001",
196     "10111101",
197     "10111101",
198     "10011001",
199     "11000011",
200     "11111111",
201     "11111111",
202     "11111111",
203     "11111111",
204     "11111111",
205     "00000000",
206     "00000000",
207     "00011110",
208     "00001110",
209     "00011010",
210     "00110010",
211     "01111000",
212     "11001100",
213     "11001100",
214     "11001100",
215     "11001100",
216     "01111000",
217     "00000000",
218     "00000000",
219     "00000000",
220     "00000000",
221     "00000000",
222     "00000000",
223     "00111100",
224     "01100110",
225     "01100110",
226     "01100110",
227     "01100110",
228     "00111100",
229     "00011000",
230     "01111110",
231     "00011000",
232     "00011000",
233     "00000000",
234     "00000000",
235     "00000000",
236     "00000000",
237     "00000000",
238     "00000000",
239     "00111111",
240     "00110011",
241     "00111111",
242     "00110000",
243     "00110000",
244     "00110000",
245     "00110000",
246     "01110000",
247     "11110000",
248     "11100000",
249     "00000000",
250     "00000000",
251     "00000000",
252     "00000000",
253     "00000000",
254     "00000000",
255     "01111111",
256     "01100011",
257     "01111111",
258     "01100011",
259     "01100011",
260     "01100011",
261     "01100011",
262     "01100111",
263     "11100111",
264     "11100110",
265     "11000000",
266     "00000000",
267     "00000000",
268     "00000000",
269     "00000000",
270     "00000000",
271     "00000000",
272     "00011000",
273     "00011000",
274     "11011011",
275     "00111100",
276     "11100111",
277     "00111100",
278     "11011011",
279     "00011000",
280     "00011000",
281     "00000000",
282     "00000000",
283     "00000000",
284     "00000000",
285     "00000000",
286     "10000000",
287     "11000000",
288     "11100000",
289     "11110000",
290     "11111000",
291     "11111110",
292     "11111000",
293     "11110000",
294     "11100000",
295     "11000000",
296     "10000000",
297     "00000000",
298     "00000000",
299     "00000000",
300     "00000000",
301     "00000000",
302     "00000010",
303     "00000110",
304     "00001110",
305     "00011110",
306     "00111110",
307     "11111110",
308     "00111110",
309     "00011110",
310     "00001110",
311     "00000110",
312     "00000010",
313     "00000000",
314     "00000000",
315     "00000000",
316     "00000000",
317     "00000000",
318     "00000000",
319     "00011000",
320     "00111100",
321     "01111110",
322     "00011000",
323     "00011000",
324     "00011000",
325     "01111110",
326     "00111100",
327     "00011000",
328     "00000000",
329     "00000000",
330     "00000000",
331     "00000000",
332     "00000000",
333     "00000000",
334     "00000000",
335     "01100110",
336     "01100110",
337     "01100110",
338     "01100110",
339     "01100110",
340     "01100110",
341     "01100110",
342     "00000000",
343     "01100110",
344     "01100110",
345     "00000000",
346     "00000000",
347     "00000000",
348     "00000000",
349     "00000000",
350     "00000000",
351     "01111111",
352     "11011011",
353     "11011011",
354     "11011011",
355     "01111011",
356     "00011011",
357     "00011011",
358     "00011011",
359     "00011011",
360     "00011011",
361     "00000000",
362     "00000000",
363     "00000000",
364     "00000000",
365     "00000000",
366     "01111100",
367     "11000110",
368     "01100000",
369     "00111000",
370     "01101100",
371     "11000110",
372     "11000110",
373     "01101100",
374     "00111000",
375     "00001100",
376     "11000110",
377     "01111100",
378     "00000000",
379     "00000000",
380     "00000000",
381     "00000000",
382     "00000000",
383     "00000000",
384     "00000000",
385     "00000000",
386     "00000000",
387     "00000000",
388     "00000000",
389     "11111110",
390     "11111110",
391     "11111110",
392     "11111110",
393     "00000000",
394     "00000000",
395     "00000000",
396     "00000000",
397     "00000000",
398     "00000000",
399     "00011000",
400     "00111100",
401     "01111110",
402     "00011000",
403     "00011000",
404     "00011000",
405     "01111110",
406     "00111100",
407     "00011000",
408     "01111110",
409     "00000000",
410     "00000000",
411     "00000000",
412     "00000000",
413     "00000000",
414     "00000000",
415     "00011000",
416     "00111100",
417     "01111110",
418     "00011000",
419     "00011000",
420     "00011000",
421     "00011000",
422     "00011000",
423     "00011000",
424     "00011000",
425     "00000000",
426     "00000000",
427     "00000000",
428     "00000000",
429     "00000000",
430     "00000000",
431     "00011000",
432     "00011000",
433     "00011000",
434     "00011000",
435     "00011000",
436     "00011000",
437     "00011000",
438     "01111110",
439     "00111100",
440     "00011000",
441     "00000000",
442     "00000000",
443     "00000000",
444     "00000000",
445     "00000000",
446     "00000000",
447     "00000000",
448     "00000000",
449     "00000000",
450     "00011000",
451     "00001100",
452     "11111110",
453     "00001100",
454     "00011000",
455     "00000000",
456     "00000000",
457     "00000000",
458     "00000000",
459     "00000000",
460     "00000000",
461     "00000000",
462     "00000000",
463     "00000000",
464     "00000000",
465     "00000000",
466     "00110000",
467     "01100000",
468     "11111110",
469     "01100000",
470     "00110000",
471     "00000000",
472     "00000000",
473     "00000000",
474     "00000000",
475     "00000000",
476     "00000000",
477     "00000000",
478     "00000000",
479     "00000000",
480     "00000000",
481     "00000000",
482     "00000000",
483     "11000000",
484     "11000000",
485     "11000000",
486     "11111110",
487     "00000000",
488     "00000000",
489     "00000000",
490     "00000000",
491     "00000000",
492     "00000000",
493     "00000000",
494     "00000000",
495     "00000000",
496     "00000000",
497     "00000000",
498     "00101000",
499     "01101100",
500     "11111110",
501     "01101100",
502     "00101000",
503     "00000000",
504     "00000000",
505     "00000000",
506     "00000000",
507     "00000000",
508     "00000000",
509     "00000000",
510     "00000000",
511     "00000000",
512     "00000000",
513     "00010000",
514     "00111000",
515     "00111000",
516     "01111100",
517     "01111100",
518     "11111110",
519     "11111110",
520     "00000000",
521     "00000000",
522     "00000000",
523     "00000000",
524     "00000000",
525     "00000000",
526     "00000000",
527     "00000000",
528     "00000000",
529     "11111110",
530     "11111110",
531     "01111100",
532     "01111100",
533     "00111000",
534     "00111000",
535     "00010000",
536     "00000000",
537     "00000000",
538     "00000000",
539     "00000000",
540     "00000000",
541     "00000000",
542     "00000000",
543     "00000000",
544     "00000000",
545     "00000000",
546     "00000000",
547     "00000000",
548     "00000000",
549     "00000000",
550     "00000000",
551     "00000000",
552     "00000000",
553     "00000000",
554     "00000000",
555     "00000000",
556     "00000000",
557     "00000000",
558     "00000000",
559     "00011000",
560     "00111100",
561     "00111100",
562     "00111100",
563     "00011000",
564     "00011000",
565     "00011000",
566     "00000000",
567     "00011000",
568     "00011000",
569     "00000000",
570     "00000000",
571     "00000000",
572     "00000000",
573     "00000000",
574     "01100110",
575     "01100110",
576     "01100110",
577     "00100100",
578     "00000000",
579     "00000000",
580     "00000000",
581     "00000000",
582     "00000000",
583     "00000000",
584     "00000000",
585     "00000000",
586     "00000000",
587     "00000000",
588     "00000000",
589     "00000000",
590     "00000000",
591     "00000000",
592     "01101100",
593     "01101100",
594     "11111110",
595     "01101100",
596     "01101100",
597     "01101100",
598     "11111110",
599     "01101100",
600     "01101100",
601     "00000000",
602     "00000000",
603     "00000000",
604     "00000000",
605     "00011000",
606     "00011000",
607     "01111100",
608     "11000110",
609     "11000010",
610     "11000000",
611     "01111100",
612     "00000110",
613     "00000110",
614     "10000110",
615     "11000110",
616     "01111100",
617     "00011000",
618     "00011000",
619     "00000000",
620     "00000000",
621     "00000000",
622     "00000000",
623     "00000000",
624     "00000000",
625     "11000010",
626     "11000110",
627     "00001100",
628     "00011000",
629     "00110000",
630     "01100000",
631     "11000110",
632     "10000110",
633     "00000000",
634     "00000000",
635     "00000000",
636     "00000000",
637     "00000000",
638     "00000000",
639     "00111000",
640     "01101100",
641     "01101100",
642     "00111000",
643     "01110110",
644     "11011100",
645     "11001100",
646     "11001100",
647     "11001100",
648     "01110110",
649     "00000000",
650     "00000000",
651     "00000000",
652     "00000000",
653     "00000000",
654     "00110000",
655     "00110000",
656     "00110000",
657     "01100000",
658     "00000000",
659     "00000000",
660     "00000000",
661     "00000000",
662     "00000000",
663     "00000000",
664     "00000000",
665     "00000000",
666     "00000000",
667     "00000000",
668     "00000000",
669     "00000000",
670     "00000000",
671     "00001100",
672     "00011000",
673     "00110000",
674     "00110000",
675     "00110000",
676     "00110000",
677     "00110000",
678     "00110000",
679     "00011000",
680     "00001100",
681     "00000000",
682     "00000000",
683     "00000000",
684     "00000000",
685     "00000000",
686     "00000000",
687     "00110000",
688     "00011000",
689     "00001100",
690     "00001100",
691     "00001100",
692     "00001100",
693     "00001100",
694     "00001100",
695     "00011000",
696     "00110000",
697     "00000000",
698     "00000000",
699     "00000000",
700     "00000000",
701     "00000000",
702     "00000000",
703     "00000000",
704     "00000000",
705     "00000000",
706     "01100110",
707     "00111100",
708     "11111111",
709     "00111100",
710     "01100110",
711     "00000000",
712     "00000000",
713     "00000000",
714     "00000000",
715     "00000000",
716     "00000000",
717     "00000000",
718     "00000000",
719     "00000000",
720     "00000000",
721     "00000000",
722     "00011000",
723     "00011000",
724     "01111110",
725     "00011000",
726     "00011000",
727     "00000000",
728     "00000000",
729     "00000000",
730     "00000000",
731     "00000000",
732     "00000000",
733     "00000000",
734     "00000000",
735     "00000000",
736     "00000000",
737     "00000000",
738     "00000000",
739     "00000000",
740     "00000000",
741     "00000000",
742     "00011000",
743     "00011000",
744     "00011000",
745     "00110000",
746     "00000000",
747     "00000000",
748     "00000000",
749     "00000000",
750     "00000000",
751     "00000000",
752     "00000000",
753     "00000000",
754     "00000000",
755     "00000000",
756     "11111110",
757     "00000000",
758     "00000000",
759     "00000000",
760     "00000000",
761     "00000000",
762     "00000000",
763     "00000000",
764     "00000000",
765     "00000000",
766     "00000000",
767     "00000000",
768     "00000000",
769     "00000000",
770     "00000000",
771     "00000000",
772     "00000000",
773     "00000000",
774     "00000000",
775     "00011000",
776     "00011000",
777     "00000000",
778     "00000000",
779     "00000000",
780     "00000000",
781     "00000000",
782     "00000000",
783     "00000000",
784     "00000000",
785     "00000010",
786     "00000110",
787     "00001100",
788     "00011000",
789     "00110000",
790     "01100000",
791     "11000000",
792     "10000000",
793     "00000000",
794     "00000000",
795     "00000000",
796     "00000000",
797     "00000000",
798     "00000000",
799     "00111000",
800     "01101100",
801     "11000110",
802     "11000110",
803     "11010110",
804     "11010110",
805     "11000110",
806     "11000110",
807     "01101100",
808     "00111000",
809     "00000000",
810     "00000000",
811     "00000000",
812     "00000000",
813     "00000000",
814     "00000000",
815     "00011000",
816     "00111000",
817     "01111000",
818     "00011000",
819     "00011000",
820     "00011000",
821     "00011000",
822     "00011000",
823     "00011000",
824     "01111110",
825     "00000000",
826     "00000000",
827     "00000000",
828     "00000000",
829     "00000000",
830     "00000000",
831     "01111100",
832     "11000110",
833     "00000110",
834     "00001100",
835     "00011000",
836     "00110000",
837     "01100000",
838     "11000000",
839     "11000110",
840     "11111110",
841     "00000000",
842     "00000000",
843     "00000000",
844     "00000000",
845     "00000000",
846     "00000000",
847     "01111100",
848     "11000110",
849     "00000110",
850     "00000110",
851     "00111100",
852     "00000110",
853     "00000110",
854     "00000110",
855     "11000110",
856     "01111100",
857     "00000000",
858     "00000000",
859     "00000000",
860     "00000000",
861     "00000000",
862     "00000000",
863     "00001100",
864     "00011100",
865     "00111100",
866     "01101100",
867     "11001100",
868     "11111110",
869     "00001100",
870     "00001100",
871     "00001100",
872     "00011110",
873     "00000000",
874     "00000000",
875     "00000000",
876     "00000000",
877     "00000000",
878     "00000000",
879     "11111110",
880     "11000000",
881     "11000000",
882     "11000000",
883     "11111100",
884     "00000110",
885     "00000110",
886     "00000110",
887     "11000110",
888     "01111100",
889     "00000000",
890     "00000000",
891     "00000000",
892     "00000000",
893     "00000000",
894     "00000000",
895     "00111000",
896     "01100000",
897     "11000000",
898     "11000000",
899     "11111100",
900     "11000110",
901     "11000110",
902     "11000110",
903     "11000110",
904     "01111100",
905     "00000000",
906     "00000000",
907     "00000000",
908     "00000000",
909     "00000000",
910     "00000000",
911     "11111110",
912     "11000110",
913     "00000110",
914     "00000110",
915     "00001100",
916     "00011000",
917     "00110000",
918     "00110000",
919     "00110000",
920     "00110000",
921     "00000000",
922     "00000000",
923     "00000000",
924     "00000000",
925     "00000000",
926     "00000000",
927     "01111100",
928     "11000110",
929     "11000110",
930     "11000110",
931     "01111100",
932     "11000110",
933     "11000110",
934     "11000110",
935     "11000110",
936     "01111100",
937     "00000000",
938     "00000000",
939     "00000000",
940     "00000000",
941     "00000000",
942     "00000000",
943     "01111100",
944     "11000110",
945     "11000110",
946     "11000110",
947     "01111110",
948     "00000110",
949     "00000110",
950     "00000110",
951     "00001100",
952     "01111000",
953     "00000000",
954     "00000000",
955     "00000000",
956     "00000000",
957     "00000000",
958     "00000000",
959     "00000000",
960     "00000000",
961     "00011000",
962     "00011000",
963     "00000000",
964     "00000000",
965     "00000000",
966     "00011000",
967     "00011000",
968     "00000000",
969     "00000000",
970     "00000000",
971     "00000000",
972     "00000000",
973     "00000000",
974     "00000000",
975     "00000000",
976     "00000000",
977     "00011000",
978     "00011000",
979     "00000000",
980     "00000000",
981     "00000000",
982     "00011000",
983     "00011000",
984     "00110000",
985     "00000000",
986     "00000000",
987     "00000000",
988     "00000000",
989     "00000000",
990     "00000000",
991     "00000000",
992     "00000110",
993     "00001100",
994     "00011000",
995     "00110000",
996     "01100000",
997     "00110000",
998     "00011000",
999     "00001100",
1000     "00000110",
1001     "00000000",
1002     "00000000",
1003     "00000000",
1004     "00000000",
1005     "00000000",
1006     "00000000",
1007     "00000000",
1008     "00000000",
1009     "00000000",
1010     "01111110",
1011     "00000000",
1012     "00000000",
1013     "01111110",
1014     "00000000",
1015     "00000000",
1016     "00000000",
1017     "00000000",
1018     "00000000",
1019     "00000000",
1020     "00000000",
1021     "00000000",
1022     "00000000",
1023     "00000000",
1024     "01100000",
1025     "00110000",
1026     "00011000",
1027     "00001100",
1028     "00000110",
1029     "00001100",
1030     "00011000",
1031     "00110000",
1032     "01100000",
1033     "00000000",
1034     "00000000",
1035     "00000000",
1036     "00000000",
1037     "00000000",
1038     "00000000",
1039     "01111100",
1040     "11000110",
1041     "11000110",
1042     "00001100",
1043     "00011000",
1044     "00011000",
1045     "00011000",
1046     "00000000",
1047     "00011000",
1048     "00011000",
1049     "00000000",
1050     "00000000",
1051     "00000000",
1052     "00000000",
1053     "00000000",
1054     "00000000",
1055     "00000000",
1056     "01111100",
1057     "11000110",
1058     "11000110",
1059     "11011110",
1060     "11011110",
1061     "11011110",
1062     "11011100",
1063     "11000000",
1064     "01111100",
1065     "00000000",
1066     "00000000",
1067     "00000000",
1068     "00000000",
1069     "00000000",
1070     "00000000",
1071     "00010000",
1072     "00111000",
1073     "01101100",
1074     "11000110",
1075     "11000110",
1076     "11111110",
1077     "11000110",
1078     "11000110",
1079     "11000110",
1080     "11000110",
1081     "00000000",
1082     "00000000",
1083     "00000000",
1084     "00000000",
1085     "00000000",
1086     "00000000",
1087     "11111100",
1088     "01100110",
1089     "01100110",
1090     "01100110",
1091     "01111100",
1092     "01100110",
1093     "01100110",
1094     "01100110",
1095     "01100110",
1096     "11111100",
1097     "00000000",
1098     "00000000",
1099     "00000000",
1100     "00000000",
1101     "00000000",
1102     "00000000",
1103     "00111100",
1104     "01100110",
1105     "11000010",
1106     "11000000",
1107     "11000000",
1108     "11000000",
1109     "11000000",
1110     "11000010",
1111     "01100110",
1112     "00111100",
1113     "00000000",
1114     "00000000",
1115     "00000000",
1116     "00000000",
1117     "00000000",
1118     "00000000",
1119     "11111000",
1120     "01101100",
1121     "01100110",
1122     "01100110",
1123     "01100110",
1124     "01100110",
1125     "01100110",
1126     "01100110",
1127     "01101100",
1128     "11111000",
1129     "00000000",
1130     "00000000",
1131     "00000000",
1132     "00000000",
1133     "00000000",
1134     "00000000",
1135     "11111110",
1136     "01100110",
1137     "01100010",
1138     "01101000",
1139     "01111000",
1140     "01101000",
1141     "01100000",
1142     "01100010",
1143     "01100110",
1144     "11111110",
1145     "00000000",
1146     "00000000",
1147     "00000000",
1148     "00000000",
1149     "00000000",
1150     "00000000",
1151     "11111110",
1152     "01100110",
1153     "01100010",
1154     "01101000",
1155     "01111000",
1156     "01101000",
1157     "01100000",
1158     "01100000",
1159     "01100000",
1160     "11110000",
1161     "00000000",
1162     "00000000",
1163     "00000000",
1164     "00000000",
1165     "00000000",
1166     "00000000",
1167     "00111100",
1168     "01100110",
1169     "11000010",
1170     "11000000",
1171     "11000000",
1172     "11011110",
1173     "11000110",
1174     "11000110",
1175     "01100110",
1176     "00111010",
1177     "00000000",
1178     "00000000",
1179     "00000000",
1180     "00000000",
1181     "00000000",
1182     "00000000",
1183     "11000110",
1184     "11000110",
1185     "11000110",
1186     "11000110",
1187     "11111110",
1188     "11000110",
1189     "11000110",
1190     "11000110",
1191     "11000110",
1192     "11000110",
1193     "00000000",
1194     "00000000",
1195     "00000000",
1196     "00000000",
1197     "00000000",
1198     "00000000",
1199     "00111100",
1200     "00011000",
1201     "00011000",
1202     "00011000",
1203     "00011000",
1204     "00011000",
1205     "00011000",
1206     "00011000",
1207     "00011000",
1208     "00111100",
1209     "00000000",
1210     "00000000",
1211     "00000000",
1212     "00000000",
1213     "00000000",
1214     "00000000",
1215     "00011110",
1216     "00001100",
1217     "00001100",
1218     "00001100",
1219     "00001100",
1220     "00001100",
1221     "11001100",
1222     "11001100",
1223     "11001100",
1224     "01111000",
1225     "00000000",
1226     "00000000",
1227     "00000000",
1228     "00000000",
1229     "00000000",
1230     "00000000",
1231     "11100110",
1232     "01100110",
1233     "01100110",
1234     "01101100",
1235     "01111000",
1236     "01111000",
1237     "01101100",
1238     "01100110",
1239     "01100110",
1240     "11100110",
1241     "00000000",
1242     "00000000",
1243     "00000000",
1244     "00000000",
1245     "00000000",
1246     "00000000",
1247     "11110000",
1248     "01100000",
1249     "01100000",
1250     "01100000",
1251     "01100000",
1252     "01100000",
1253     "01100000",
1254     "01100010",
1255     "01100110",
1256     "11111110",
1257     "00000000",
1258     "00000000",
1259     "00000000",
1260     "00000000",
1261     "00000000",
1262     "00000000",
1263     "11000110",
1264     "11101110",
1265     "11111110",
1266     "11111110",
1267     "11010110",
1268     "11000110",
1269     "11000110",
1270     "11000110",
1271     "11000110",
1272     "11000110",
1273     "00000000",
1274     "00000000",
1275     "00000000",
1276     "00000000",
1277     "00000000",
1278     "00000000",
1279     "11000110",
1280     "11100110",
1281     "11110110",
1282     "11111110",
1283     "11011110",
1284     "11001110",
1285     "11000110",
1286     "11000110",
1287     "11000110",
1288     "11000110",
1289     "00000000",
1290     "00000000",
1291     "00000000",
1292     "00000000",
1293     "00000000",
1294     "00000000",
1295     "01111100",
1296     "11000110",
1297     "11000110",
1298     "11000110",
1299     "11000110",
1300     "11000110",
1301     "11000110",
1302     "11000110",
1303     "11000110",
1304     "01111100",
1305     "00000000",
1306     "00000000",
1307     "00000000",
1308     "00000000",
1309     "00000000",
1310     "00000000",
1311     "11111100",
1312     "01100110",
1313     "01100110",
1314     "01100110",
1315     "01111100",
1316     "01100000",
1317     "01100000",
1318     "01100000",
1319     "01100000",
1320     "11110000",
1321     "00000000",
1322     "00000000",
1323     "00000000",
1324     "00000000",
1325     "00000000",
1326     "00000000",
1327     "01111100",
1328     "11000110",
1329     "11000110",
1330     "11000110",
1331     "11000110",
1332     "11000110",
1333     "11000110",
1334     "11010110",
1335     "11011110",
1336     "01111100",
1337     "00001100",
1338     "00001110",
1339     "00000000",
1340     "00000000",
1341     "00000000",
1342     "00000000",
1343     "11111100",
1344     "01100110",
1345     "01100110",
1346     "01100110",
1347     "01111100",
1348     "01101100",
1349     "01100110",
1350     "01100110",
1351     "01100110",
1352     "11100110",
1353     "00000000",
1354     "00000000",
1355     "00000000",
1356     "00000000",
1357     "00000000",
1358     "00000000",
1359     "01111100",
1360     "11000110",
1361     "11000110",
1362     "01100000",
1363     "00111000",
1364     "00001100",
1365     "00000110",
1366     "11000110",
1367     "11000110",
1368     "01111100",
1369     "00000000",
1370     "00000000",
1371     "00000000",
1372     "00000000",
1373     "00000000",
1374     "00000000",
1375     "01111110",
1376     "01111110",
1377     "01011010",
1378     "00011000",
1379     "00011000",
1380     "00011000",
1381     "00011000",
1382     "00011000",
1383     "00011000",
1384     "00111100",
1385     "00000000",
1386     "00000000",
1387     "00000000",
1388     "00000000",
1389     "00000000",
1390     "00000000",
1391     "11000110",
1392     "11000110",
1393     "11000110",
1394     "11000110",
1395     "11000110",
1396     "11000110",
1397     "11000110",
1398     "11000110",
1399     "11000110",
1400     "01111100",
1401     "00000000",
1402     "00000000",
1403     "00000000",
1404     "00000000",
1405     "00000000",
1406     "00000000",
1407     "11000110",
1408     "11000110",
1409     "11000110",
1410     "11000110",
1411     "11000110",
1412     "11000110",
1413     "11000110",
1414     "01101100",
1415     "00111000",
1416     "00010000",
1417     "00000000",
1418     "00000000",
1419     "00000000",
1420     "00000000",
1421     "00000000",
1422     "00000000",
1423     "11000110",
1424     "11000110",
1425     "11000110",
1426     "11000110",
1427     "11010110",
1428     "11010110",
1429     "11010110",
1430     "11111110",
1431     "11101110",
1432     "01101100",
1433     "00000000",
1434     "00000000",
1435     "00000000",
1436     "00000000",
1437     "00000000",
1438     "00000000",
1439     "11000110",
1440     "11000110",
1441     "01101100",
1442     "01111100",
1443     "00111000",
1444     "00111000",
1445     "01111100",
1446     "01101100",
1447     "11000110",
1448     "11000110",
1449     "00000000",
1450     "00000000",
1451     "00000000",
1452     "00000000",
1453     "00000000",
1454     "00000000",
1455     "01100110",
1456     "01100110",
1457     "01100110",
1458     "01100110",
1459     "00111100",
1460     "00011000",
1461     "00011000",
1462     "00011000",
1463     "00011000",
1464     "00111100",
1465     "00000000",
1466     "00000000",
1467     "00000000",
1468     "00000000",
1469     "00000000",
1470     "00000000",
1471     "11111110",
1472     "11000110",
1473     "10000110",
1474     "00001100",
1475     "00011000",
1476     "00110000",
1477     "01100000",
1478     "11000010",
1479     "11000110",
1480     "11111110",
1481     "00000000",
1482     "00000000",
1483     "00000000",
1484     "00000000",
1485     "00000000",
1486     "00000000",
1487     "00111100",
1488     "00110000",
1489     "00110000",
1490     "00110000",
1491     "00110000",
1492     "00110000",
1493     "00110000",
1494     "00110000",
1495     "00110000",
1496     "00111100",
1497     "00000000",
1498     "00000000",
1499     "00000000",
1500     "00000000",
1501     "00000000",
1502     "00000000",
1503     "00000000",
1504     "10000000",
1505     "11000000",
1506     "11100000",
1507     "01110000",
1508     "00111000",
1509     "00011100",
1510     "00001110",
1511     "00000110",
1512     "00000010",
1513     "00000000",
1514     "00000000",
1515     "00000000",
1516     "00000000",
1517     "00000000",
1518     "00000000",
1519     "00111100",
1520     "00001100",
1521     "00001100",
1522     "00001100",
1523     "00001100",
1524     "00001100",
1525     "00001100",
1526     "00001100",
1527     "00001100",
1528     "00111100",
1529     "00000000",
1530     "00000000",
1531     "00000000",
1532     "00000000",
1533     "00010000",
1534     "00111000",
1535     "01101100",
1536     "11000110",
1537     "00000000",
1538     "00000000",
1539     "00000000",
1540     "00000000",
1541     "00000000",
1542     "00000000",
1543     "00000000",
1544     "00000000",
1545     "00000000",
1546     "00000000",
1547     "00000000",
1548     "00000000",
1549     "00000000",
1550     "00000000",
1551     "00000000",
1552     "00000000",
1553     "00000000",
1554     "00000000",
1555     "00000000",
1556     "00000000",
1557     "00000000",
1558     "00000000",
1559     "00000000",
1560     "00000000",
1561     "00000000",
1562     "11111111",
1563     "00000000",
1564     "00000000",
1565     "00000000",
1566     "00110000",
1567     "00011000",
1568     "00001100",
1569     "00000000",
1570     "00000000",
1571     "00000000",
1572     "00000000",
1573     "00000000",
1574     "00000000",
1575     "00000000",
1576     "00000000",
1577     "00000000",
1578     "00000000",
1579     "00000000",
1580     "00000000",
1581     "00000000",
1582     "00000000",
1583     "00000000",
1584     "00000000",
1585     "00000000",
1586     "01111000",
1587     "00001100",
1588     "01111100",
1589     "11001100",
1590     "11001100",
1591     "11001100",
1592     "01110110",
1593     "00000000",
1594     "00000000",
1595     "00000000",
1596     "00000000",
1597     "00000000",
1598     "00000000",
1599     "11100000",
1600     "01100000",
1601     "01100000",
1602     "01111000",
1603     "01101100",
1604     "01100110",
1605     "01100110",
1606     "01100110",
1607     "01100110",
1608     "01111100",
1609     "00000000",
1610     "00000000",
1611     "00000000",
1612     "00000000",
1613     "00000000",
1614     "00000000",
1615     "00000000",
1616     "00000000",
1617     "00000000",
1618     "01111100",
1619     "11000110",
1620     "11000000",
1621     "11000000",
1622     "11000000",
1623     "11000110",
1624     "01111100",
1625     "00000000",
1626     "00000000",
1627     "00000000",
1628     "00000000",
1629     "00000000",
1630     "00000000",
1631     "00011100",
1632     "00001100",
1633     "00001100",
1634     "00111100",
1635     "01101100",
1636     "11001100",
1637     "11001100",
1638     "11001100",
1639     "11001100",
1640     "01110110",
1641     "00000000",
1642     "00000000",
1643     "00000000",
1644     "00000000",
1645     "00000000",
1646     "00000000",
1647     "00000000",
1648     "00000000",
1649     "00000000",
1650     "01111100",
1651     "11000110",
1652     "11111110",
1653     "11000000",
1654     "11000000",
1655     "11000110",
1656     "01111100",
1657     "00000000",
1658     "00000000",
1659     "00000000",
1660     "00000000",
1661     "00000000",
1662     "00000000",
1663     "00011100",
1664     "00110110",
1665     "00110010",
1666     "00110000",
1667     "01111000",
1668     "00110000",
1669     "00110000",
1670     "00110000",
1671     "00110000",
1672     "01111000",
1673     "00000000",
1674     "00000000",
1675     "00000000",
1676     "00000000",
1677     "00000000",
1678     "00000000",
1679     "00000000",
1680     "00000000",
1681     "00000000",
1682     "01110110",
1683     "11001100",
1684     "11001100",
1685     "11001100",
1686     "11001100",
1687     "11001100",
1688     "01111100",
1689     "00001100",
1690     "11001100",
1691     "01111000",
1692     "00000000",
1693     "00000000",
1694     "00000000",
1695     "11100000",
1696     "01100000",
1697     "01100000",
1698     "01101100",
1699     "01110110",
1700     "01100110",
1701     "01100110",
1702     "01100110",
1703     "01100110",
1704     "11100110",
1705     "00000000",
1706     "00000000",
1707     "00000000",
1708     "00000000",
1709     "00000000",
1710     "00000000",
1711     "00011000",
1712     "00011000",
1713     "00000000",
1714     "00111000",
1715     "00011000",
1716     "00011000",
1717     "00011000",
1718     "00011000",
1719     "00011000",
1720     "00111100",
1721     "00000000",
1722     "00000000",
1723     "00000000",
1724     "00000000",
1725     "00000000",
1726     "00000000",
1727     "00000110",
1728     "00000110",
1729     "00000000",
1730     "00001110",
1731     "00000110",
1732     "00000110",
1733     "00000110",
1734     "00000110",
1735     "00000110",
1736     "00000110",
1737     "01100110",
1738     "01100110",
1739     "00111100",
1740     "00000000",
1741     "00000000",
1742     "00000000",
1743     "11100000",
1744     "01100000",
1745     "01100000",
1746     "01100110",
1747     "01101100",
1748     "01111000",
1749     "01111000",
1750     "01101100",
1751     "01100110",
1752     "11100110",
1753     "00000000",
1754     "00000000",
1755     "00000000",
1756     "00000000",
1757     "00000000",
1758     "00000000",
1759     "00111000",
1760     "00011000",
1761     "00011000",
1762     "00011000",
1763     "00011000",
1764     "00011000",
1765     "00011000",
1766     "00011000",
1767     "00011000",
1768     "00111100",
1769     "00000000",
1770     "00000000",
1771     "00000000",
1772     "00000000",
1773     "00000000",
1774     "00000000",
1775     "00000000",
1776     "00000000",
1777     "00000000",
1778     "11101100",
1779     "11111110",
1780     "11010110",
1781     "11010110",
1782     "11010110",
1783     "11010110",
1784     "11000110",
1785     "00000000",
1786     "00000000",
1787     "00000000",
1788     "00000000",
1789     "00000000",
1790     "00000000",
1791     "00000000",
1792     "00000000",
1793     "00000000",
1794     "11011100",
1795     "01100110",
1796     "01100110",
1797     "01100110",
1798     "01100110",
1799     "01100110",
1800     "01100110",
1801     "00000000",
1802     "00000000",
1803     "00000000",
1804     "00000000",
1805     "00000000",
1806     "00000000",
1807     "00000000",
1808     "00000000",
1809     "00000000",
1810     "01111100",
1811     "11000110",
1812     "11000110",
1813     "11000110",
1814     "11000110",
1815     "11000110",
1816     "01111100",
1817     "00000000",
1818     "00000000",
1819     "00000000",
1820     "00000000",
1821     "00000000",
1822     "00000000",
1823     "00000000",
1824     "00000000",
1825     "00000000",
1826     "11011100",
1827     "01100110",
1828     "01100110",
1829     "01100110",
1830     "01100110",
1831     "01100110",
1832     "01111100",
1833     "01100000",
1834     "01100000",
1835     "11110000",
1836     "00000000",
1837     "00000000",
1838     "00000000",
1839     "00000000",
1840     "00000000",
1841     "00000000",
1842     "01110110",
1843     "11001100",
1844     "11001100",
1845     "11001100",
1846     "11001100",
1847     "11001100",
1848     "01111100",
1849     "00001100",
1850     "00001100",
1851     "00011110",
1852     "00000000",
1853     "00000000",
1854     "00000000",
1855     "00000000",
1856     "00000000",
1857     "00000000",
1858     "11011100",
1859     "01110110",
1860     "01100110",
1861     "01100000",
1862     "01100000",
1863     "01100000",
1864     "11110000",
1865     "00000000",
1866     "00000000",
1867     "00000000",
1868     "00000000",
1869     "00000000",
1870     "00000000",
1871     "00000000",
1872     "00000000",
1873     "00000000",
1874     "01111100",
1875     "11000110",
1876     "01100000",
1877     "00111000",
1878     "00001100",
1879     "11000110",
1880     "01111100",
1881     "00000000",
1882     "00000000",
1883     "00000000",
1884     "00000000",
1885     "00000000",
1886     "00000000",
1887     "00010000",
1888     "00110000",
1889     "00110000",
1890     "11111100",
1891     "00110000",
1892     "00110000",
1893     "00110000",
1894     "00110000",
1895     "00110110",
1896     "00011100",
1897     "00000000",
1898     "00000000",
1899     "00000000",
1900     "00000000",
1901     "00000000",
1902     "00000000",
1903     "00000000",
1904     "00000000",
1905     "00000000",
1906     "11001100",
1907     "11001100",
1908     "11001100",
1909     "11001100",
1910     "11001100",
1911     "11001100",
1912     "01110110",
1913     "00000000",
1914     "00000000",
1915     "00000000",
1916     "00000000",
1917     "00000000",
1918     "00000000",
1919     "00000000",
1920     "00000000",
1921     "00000000",
1922     "11000110",
1923     "11000110",
1924     "11000110",
1925     "11000110",
1926     "11000110",
1927     "01101100",
1928     "00111000",
1929     "00000000",
1930     "00000000",
1931     "00000000",
1932     "00000000",
1933     "00000000",
1934     "00000000",
1935     "00000000",
1936     "00000000",
1937     "00000000",
1938     "11000110",
1939     "11000110",
1940     "11010110",
1941     "11010110",
1942     "11010110",
1943     "11111110",
1944     "01101100",
1945     "00000000",
1946     "00000000",
1947     "00000000",
1948     "00000000",
1949     "00000000",
1950     "00000000",
1951     "00000000",
1952     "00000000",
1953     "00000000",
1954     "11000110",
1955     "01101100",
1956     "00111000",
1957     "00111000",
1958     "00111000",
1959     "01101100",
1960     "11000110",
1961     "00000000",
1962     "00000000",
1963     "00000000",
1964     "00000000",
1965     "00000000",
1966     "00000000",
1967     "00000000",
1968     "00000000",
1969     "00000000",
1970     "11000110",
1971     "11000110",
1972     "11000110",
1973     "11000110",
1974     "11000110",
1975     "11000110",
1976     "01111110",
1977     "00000110",
1978     "00001100",
1979     "11111000",
1980     "00000000",
1981     "00000000",
1982     "00000000",
1983     "00000000",
1984     "00000000",
1985     "00000000",
1986     "11111110",
1987     "11001100",
1988     "00011000",
1989     "00110000",
1990     "01100000",
1991     "11000110",
1992     "11111110",
1993     "00000000",
1994     "00000000",
1995     "00000000",
1996     "00000000",
1997     "00000000",
1998     "00000000",
1999     "00001110",
2000     "00011000",
2001     "00011000",
2002     "00011000",
2003     "01110000",
2004     "00011000",
2005     "00011000",
2006     "00011000",
2007     "00011000",
2008     "00001110",
2009     "00000000",
2010     "00000000",
2011     "00000000",
2012     "00000000",
2013     "00000000",
2014     "00000000",
2015     "00011000",
2016     "00011000",
2017     "00011000",
2018     "00011000",
2019     "00011000",
2020     "00011000",
2021     "00011000",
2022     "00011000",
2023     "00011000",
2024     "00011000",
2025     "00000000",
2026     "00000000",
2027     "00000000",
2028     "00000000",
2029     "00000000",
2030     "00000000",
2031     "01110000",
2032     "00011000",
2033     "00011000",
2034     "00011000",
2035     "00001110",
2036     "00011000",
2037     "00011000",
2038     "00011000",
2039     "00011000",
2040     "01110000",
2041     "00000000",
2042     "00000000",
2043     "00000000",
2044     "00000000",
2045     "00000000",
2046     "01110110",
2047     "11011100",
2048     "00000000",
2049     "00000000",
2050     "00000000",
2051     "00000000",
2052     "00000000",
2053     "00000000",
2054     "00000000",
2055     "00000000",
2056     "00000000",
2057     "00000000",
2058     "00000000",
2059     "00000000",
2060     "00000000",
2061     "00000000",
2062     "00000000",
2063     "00000000",
2064     "00000000",
2065     "00010000",
2066     "00111000",
2067     "01101100",
2068     "11000110",
2069     "11000110",
2070     "11000110",
2071     "11111110",
2072     "00000000",
2073     "00000000",
2074     "00000000",
2075     "00000000",
2076     "00000000",
2077     "00000000",
2078     "00000000",
2079     "00111100",
2080     "01100110",
2081     "11000010",
2082     "11000000",
2083     "11000000",
2084     "11000000",
2085     "11000000",
2086     "11000010",
2087     "01100110",
2088     "00111100",
2089     "00011000",
2090     "01110000",
2091     "00000000",
2092     "00000000",
2093     "00000000",
2094     "00000000",
2095     "11001100",
2096     "00000000",
2097     "00000000",
2098     "11001100",
2099     "11001100",
2100     "11001100",
2101     "11001100",
2102     "11001100",
2103     "11001100",
2104     "01110110",
2105     "00000000",
2106     "00000000",
2107     "00000000",
2108     "00000000",
2109     "00000000",
2110     "00001100",
2111     "00011000",
2112     "00110000",
2113     "00000000",
2114     "01111100",
2115     "11000110",
2116     "11111110",
2117     "11000000",
2118     "11000000",
2119     "11000110",
2120     "01111100",
2121     "00000000",
2122     "00000000",
2123     "00000000",
2124     "00000000",
2125     "00000000",
2126     "00010000",
2127     "00111000",
2128     "01101100",
2129     "00000000",
2130     "01111000",
2131     "00001100",
2132     "01111100",
2133     "11001100",
2134     "11001100",
2135     "11001100",
2136     "01110110",
2137     "00000000",
2138     "00000000",
2139     "00000000",
2140     "00000000",
2141     "00000000",
2142     "00000000",
2143     "11001100",
2144     "00000000",
2145     "00000000",
2146     "01111000",
2147     "00001100",
2148     "01111100",
2149     "11001100",
2150     "11001100",
2151     "11001100",
2152     "01110110",
2153     "00000000",
2154     "00000000",
2155     "00000000",
2156     "00000000",
2157     "00000000",
2158     "01100000",
2159     "00110000",
2160     "00011000",
2161     "00000000",
2162     "01111000",
2163     "00001100",
2164     "01111100",
2165     "11001100",
2166     "11001100",
2167     "11001100",
2168     "01110110",
2169     "00000000",
2170     "00000000",
2171     "00000000",
2172     "00000000",
2173     "00000000",
2174     "00111000",
2175     "01101100",
2176     "00111000",
2177     "00000000",
2178     "01111000",
2179     "00001100",
2180     "01111100",
2181     "11001100",
2182     "11001100",
2183     "11001100",
2184     "01110110",
2185     "00000000",
2186     "00000000",
2187     "00000000",
2188     "00000000",
2189     "00000000",
2190     "00000000",
2191     "00000000",
2192     "00000000",
2193     "00000000",
2194     "01111100",
2195     "11000110",
2196     "11000000",
2197     "11000000",
2198     "11000000",
2199     "11000110",
2200     "01111100",
2201     "00011000",
2202     "01110000",
2203     "00000000",
2204     "00000000",
2205     "00000000",
2206     "00010000",
2207     "00111000",
2208     "01101100",
2209     "00000000",
2210     "01111100",
2211     "11000110",
2212     "11111110",
2213     "11000000",
2214     "11000000",
2215     "11000110",
2216     "01111100",
2217     "00000000",
2218     "00000000",
2219     "00000000",
2220     "00000000",
2221     "00000000",
2222     "00000000",
2223     "11000110",
2224     "00000000",
2225     "00000000",
2226     "01111100",
2227     "11000110",
2228     "11111110",
2229     "11000000",
2230     "11000000",
2231     "11000110",
2232     "01111100",
2233     "00000000",
2234     "00000000",
2235     "00000000",
2236     "00000000",
2237     "00000000",
2238     "01100000",
2239     "00110000",
2240     "00011000",
2241     "00000000",
2242     "01111100",
2243     "11000110",
2244     "11111110",
2245     "11000000",
2246     "11000000",
2247     "11000110",
2248     "01111100",
2249     "00000000",
2250     "00000000",
2251     "00000000",
2252     "00000000",
2253     "00000000",
2254     "00000000",
2255     "01100110",
2256     "00000000",
2257     "00000000",
2258     "00111000",
2259     "00011000",
2260     "00011000",
2261     "00011000",
2262     "00011000",
2263     "00011000",
2264     "00111100",
2265     "00000000",
2266     "00000000",
2267     "00000000",
2268     "00000000",
2269     "00000000",
2270     "00011000",
2271     "00111100",
2272     "01100110",
2273     "00000000",
2274     "00111000",
2275     "00011000",
2276     "00011000",
2277     "00011000",
2278     "00011000",
2279     "00011000",
2280     "00111100",
2281     "00000000",
2282     "00000000",
2283     "00000000",
2284     "00000000",
2285     "00000000",
2286     "01100000",
2287     "00110000",
2288     "00011000",
2289     "00000000",
2290     "00111000",
2291     "00011000",
2292     "00011000",
2293     "00011000",
2294     "00011000",
2295     "00011000",
2296     "00111100",
2297     "00000000",
2298     "00000000",
2299     "00000000",
2300     "00000000",
2301     "00000000",
2302     "11000110",
2303     "00000000",
2304     "00010000",
2305     "00111000",
2306     "01101100",
2307     "11000110",
2308     "11000110",
2309     "11111110",
2310     "11000110",
2311     "11000110",
2312     "11000110",
2313     "00000000",
2314     "00000000",
2315     "00000000",
2316     "00000000",
2317     "00111000",
2318     "01101100",
2319     "00111000",
2320     "00010000",
2321     "00111000",
2322     "01101100",
2323     "11000110",
2324     "11000110",
2325     "11111110",
2326     "11000110",
2327     "11000110",
2328     "11000110",
2329     "00000000",
2330     "00000000",
2331     "00000000",
2332     "00000000",
2333     "00001100",
2334     "00011000",
2335     "00000000",
2336     "11111110",
2337     "01100110",
2338     "01100010",
2339     "01101000",
2340     "01111000",
2341     "01101000",
2342     "01100010",
2343     "01100110",
2344     "11111110",
2345     "00000000",
2346     "00000000",
2347     "00000000",
2348     "00000000",
2349     "00000000",
2350     "00000000",
2351     "00000000",
2352     "00000000",
2353     "00000000",
2354     "11101100",
2355     "00110110",
2356     "00110110",
2357     "01111110",
2358     "11011000",
2359     "11011000",
2360     "01101110",
2361     "00000000",
2362     "00000000",
2363     "00000000",
2364     "00000000",
2365     "00000000",
2366     "00000000",
2367     "00111110",
2368     "01101100",
2369     "11001100",
2370     "11001100",
2371     "11111110",
2372     "11001100",
2373     "11001100",
2374     "11001100",
2375     "11001100",
2376     "11001110",
2377     "00000000",
2378     "00000000",
2379     "00000000",
2380     "00000000",
2381     "00000000",
2382     "00010000",
2383     "00111000",
2384     "01101100",
2385     "00000000",
2386     "01111100",
2387     "11000110",
2388     "11000110",
2389     "11000110",
2390     "11000110",
2391     "11000110",
2392     "01111100",
2393     "00000000",
2394     "00000000",
2395     "00000000",
2396     "00000000",
2397     "00000000",
2398     "00000000",
2399     "11000110",
2400     "00000000",
2401     "00000000",
2402     "01111100",
2403     "11000110",
2404     "11000110",
2405     "11000110",
2406     "11000110",
2407     "11000110",
2408     "01111100",
2409     "00000000",
2410     "00000000",
2411     "00000000",
2412     "00000000",
2413     "00000000",
2414     "01100000",
2415     "00110000",
2416     "00011000",
2417     "00000000",
2418     "01111100",
2419     "11000110",
2420     "11000110",
2421     "11000110",
2422     "11000110",
2423     "11000110",
2424     "01111100",
2425     "00000000",
2426     "00000000",
2427     "00000000",
2428     "00000000",
2429     "00000000",
2430     "00110000",
2431     "01111000",
2432     "11001100",
2433     "00000000",
2434     "11001100",
2435     "11001100",
2436     "11001100",
2437     "11001100",
2438     "11001100",
2439     "11001100",
2440     "01110110",
2441     "00000000",
2442     "00000000",
2443     "00000000",
2444     "00000000",
2445     "00000000",
2446     "01100000",
2447     "00110000",
2448     "00011000",
2449     "00000000",
2450     "11001100",
2451     "11001100",
2452     "11001100",
2453     "11001100",
2454     "11001100",
2455     "11001100",
2456     "01110110",
2457     "00000000",
2458     "00000000",
2459     "00000000",
2460     "00000000",
2461     "00000000",
2462     "00000000",
2463     "11000110",
2464     "00000000",
2465     "00000000",
2466     "11000110",
2467     "11000110",
2468     "11000110",
2469     "11000110",
2470     "11000110",
2471     "11000110",
2472     "01111110",
2473     "00000110",
2474     "00001100",
2475     "01111000",
2476     "00000000",
2477     "00000000",
2478     "11000110",
2479     "00000000",
2480     "01111100",
2481     "11000110",
2482     "11000110",
2483     "11000110",
2484     "11000110",
2485     "11000110",
2486     "11000110",
2487     "11000110",
2488     "01111100",
2489     "00000000",
2490     "00000000",
2491     "00000000",
2492     "00000000",
2493     "00000000",
2494     "11000110",
2495     "00000000",
2496     "11000110",
2497     "11000110",
2498     "11000110",
2499     "11000110",
2500     "11000110",
2501     "11000110",
2502     "11000110",
2503     "11000110",
2504     "01111100",
2505     "00000000",
2506     "00000000",
2507     "00000000",
2508     "00000000",
2509     "00000000",
2510     "00000000",
2511     "00000000",
2512     "00000000",
2513     "00000000",
2514     "01111100",
2515     "11001110",
2516     "11011110",
2517     "11110110",
2518     "11100110",
2519     "11000110",
2520     "01111100",
2521     "00000000",
2522     "00000000",
2523     "00000000",
2524     "00000000",
2525     "00000000",
2526     "00111000",
2527     "01101100",
2528     "01100100",
2529     "01100000",
2530     "11110000",
2531     "01100000",
2532     "01100000",
2533     "01100000",
2534     "01100000",
2535     "11100110",
2536     "11111100",
2537     "00000000",
2538     "00000000",
2539     "00000000",
2540     "00000000",
2541     "00000000",
2542     "00000100",
2543     "01111100",
2544     "11001110",
2545     "11001110",
2546     "11010110",
2547     "11010110",
2548     "11010110",
2549     "11010110",
2550     "11100110",
2551     "11100110",
2552     "01111100",
2553     "01000000",
2554     "00000000",
2555     "00000000",
2556     "00000000",
2557     "00000000",
2558     "00000000",
2559     "00000000",
2560     "00000000",
2561     "00000000",
2562     "11000110",
2563     "01101100",
2564     "00111000",
2565     "00111000",
2566     "01101100",
2567     "11000110",
2568     "00000000",
2569     "00000000",
2570     "00000000",
2571     "00000000",
2572     "00000000",
2573     "00000000",
2574     "00001110",
2575     "00011011",
2576     "00011000",
2577     "00011000",
2578     "00011000",
2579     "01111110",
2580     "00011000",
2581     "00011000",
2582     "00011000",
2583     "11011000",
2584     "01110000",
2585     "00000000",
2586     "00000000",
2587     "00000000",
2588     "00000000",
2589     "00000000",
2590     "00011000",
2591     "00110000",
2592     "01100000",
2593     "00000000",
2594     "01111000",
2595     "00001100",
2596     "01111100",
2597     "11001100",
2598     "11001100",
2599     "11001100",
2600     "01110110",
2601     "00000000",
2602     "00000000",
2603     "00000000",
2604     "00000000",
2605     "00000000",
2606     "00001100",
2607     "00011000",
2608     "00110000",
2609     "00000000",
2610     "00111000",
2611     "00011000",
2612     "00011000",
2613     "00011000",
2614     "00011000",
2615     "00011000",
2616     "00111100",
2617     "00000000",
2618     "00000000",
2619     "00000000",
2620     "00000000",
2621     "00000000",
2622     "00011000",
2623     "00110000",
2624     "01100000",
2625     "00000000",
2626     "01111100",
2627     "11000110",
2628     "11000110",
2629     "11000110",
2630     "11000110",
2631     "11000110",
2632     "01111100",
2633     "00000000",
2634     "00000000",
2635     "00000000",
2636     "00000000",
2637     "00000000",
2638     "00011000",
2639     "00110000",
2640     "01100000",
2641     "00000000",
2642     "11001100",
2643     "11001100",
2644     "11001100",
2645     "11001100",
2646     "11001100",
2647     "11001100",
2648     "01110110",
2649     "00000000",
2650     "00000000",
2651     "00000000",
2652     "00000000",
2653     "00000000",
2654     "00000000",
2655     "01110110",
2656     "11011100",
2657     "00000000",
2658     "11011100",
2659     "01100110",
2660     "01100110",
2661     "01100110",
2662     "01100110",
2663     "01100110",
2664     "01100110",
2665     "00000000",
2666     "00000000",
2667     "00000000",
2668     "00000000",
2669     "01110110",
2670     "11011100",
2671     "00000000",
2672     "11000110",
2673     "11100110",
2674     "11110110",
2675     "11111110",
2676     "11011110",
2677     "11001110",
2678     "11000110",
2679     "11000110",
2680     "11000110",
2681     "00000000",
2682     "00000000",
2683     "00000000",
2684     "00000000",
2685     "00000000",
2686     "00000000",
2687     "00111100",
2688     "01101100",
2689     "01101100",
2690     "00111110",
2691     "00000000",
2692     "01111110",
2693     "00000000",
2694     "00000000",
2695     "00000000",
2696     "00000000",
2697     "00000000",
2698     "00000000",
2699     "00000000",
2700     "00000000",
2701     "00000000",
2702     "00000000",
2703     "00111000",
2704     "01101100",
2705     "01101100",
2706     "00111000",
2707     "00000000",
2708     "01111100",
2709     "00000000",
2710     "00000000",
2711     "00000000",
2712     "00000000",
2713     "00000000",
2714     "00000000",
2715     "00000000",
2716     "00000000",
2717     "00000000",
2718     "00000000",
2719     "00110000",
2720     "00110000",
2721     "00000000",
2722     "00110000",
2723     "00110000",
2724     "01100000",
2725     "11000000",
2726     "11000110",
2727     "11000110",
2728     "01111100",
2729     "00000000",
2730     "00000000",
2731     "00000000",
2732     "00000000",
2733     "00000000",
2734     "00000000",
2735     "01111100",
2736     "10000010",
2737     "10110010",
2738     "10101010",
2739     "10110010",
2740     "10101010",
2741     "10101010",
2742     "10000010",
2743     "01111100",
2744     "00000000",
2745     "00000000",
2746     "00000000",
2747     "00000000",
2748     "00000000",
2749     "00000000",
2750     "00000000",
2751     "00000000",
2752     "00000000",
2753     "00000000",
2754     "00000000",
2755     "11111110",
2756     "00000110",
2757     "00000110",
2758     "00000110",
2759     "00000110",
2760     "00000000",
2761     "00000000",
2762     "00000000",
2763     "00000000",
2764     "00000000",
2765     "00000000",
2766     "01100000",
2767     "11100000",
2768     "01100010",
2769     "01100110",
2770     "01101100",
2771     "00011000",
2772     "00110000",
2773     "01100000",
2774     "11011100",
2775     "10000110",
2776     "00001100",
2777     "00011000",
2778     "00111110",
2779     "00000000",
2780     "00000000",
2781     "00000000",
2782     "01100000",
2783     "11100000",
2784     "01100010",
2785     "01100110",
2786     "01101100",
2787     "00011000",
2788     "00110000",
2789     "01100110",
2790     "11001110",
2791     "10011010",
2792     "00111111",
2793     "00000110",
2794     "00000110",
2795     "00000000",
2796     "00000000",
2797     "00000000",
2798     "00000000",
2799     "00011000",
2800     "00011000",
2801     "00000000",
2802     "00011000",
2803     "00011000",
2804     "00011000",
2805     "00111100",
2806     "00111100",
2807     "00111100",
2808     "00011000",
2809     "00000000",
2810     "00000000",
2811     "00000000",
2812     "00000000",
2813     "00000000",
2814     "00000000",
2815     "00000000",
2816     "00000000",
2817     "00000000",
2818     "00110110",
2819     "01101100",
2820     "11011000",
2821     "01101100",
2822     "00110110",
2823     "00000000",
2824     "00000000",
2825     "00000000",
2826     "00000000",
2827     "00000000",
2828     "00000000",
2829     "00000000",
2830     "00000000",
2831     "00000000",
2832     "00000000",
2833     "00000000",
2834     "11011000",
2835     "01101100",
2836     "00110110",
2837     "01101100",
2838     "11011000",
2839     "00000000",
2840     "00000000",
2841     "00000000",
2842     "00000000",
2843     "00000000",
2844     "00000000",
2845     "00010001",
2846     "01000100",
2847     "00010001",
2848     "01000100",
2849     "00010001",
2850     "01000100",
2851     "00010001",
2852     "01000100",
2853     "00010001",
2854     "01000100",
2855     "00010001",
2856     "01000100",
2857     "00010001",
2858     "01000100",
2859     "00010001",
2860     "01000100",
2861     "01010101",
2862     "10101010",
2863     "01010101",
2864     "10101010",
2865     "01010101",
2866     "10101010",
2867     "01010101",
2868     "10101010",
2869     "01010101",
2870     "10101010",
2871     "01010101",
2872     "10101010",
2873     "01010101",
2874     "10101010",
2875     "01010101",
2876     "10101010",
2877     "11011101",
2878     "01110111",
2879     "11011101",
2880     "01110111",
2881     "11011101",
2882     "01110111",
2883     "11011101",
2884     "01110111",
2885     "11011101",
2886     "01110111",
2887     "11011101",
2888     "01110111",
2889     "11011101",
2890     "01110111",
2891     "11011101",
2892     "01110111",
2893     "00011000",
2894     "00011000",
2895     "00011000",
2896     "00011000",
2897     "00011000",
2898     "00011000",
2899     "00011000",
2900     "00011000",
2901     "00011000",
2902     "00011000",
2903     "00011000",
2904     "00011000",
2905     "00011000",
2906     "00011000",
2907     "00011000",
2908     "00011000",
2909     "00011000",
2910     "00011000",
2911     "00011000",
2912     "00011000",
2913     "00011000",
2914     "00011000",
2915     "00011000",
2916     "11111000",
2917     "00011000",
2918     "00011000",
2919     "00011000",
2920     "00011000",
2921     "00011000",
2922     "00011000",
2923     "00011000",
2924     "00011000",
2925     "01100000",
2926     "11000000",
2927     "00010000",
2928     "00111000",
2929     "01101100",
2930     "11000110",
2931     "11000110",
2932     "11111110",
2933     "11000110",
2934     "11000110",
2935     "11000110",
2936     "11000110",
2937     "00000000",
2938     "00000000",
2939     "00000000",
2940     "00000000",
2941     "01111100",
2942     "11000110",
2943     "00010000",
2944     "00111000",
2945     "01101100",
2946     "11000110",
2947     "11000110",
2948     "11111110",
2949     "11000110",
2950     "11000110",
2951     "11000110",
2952     "11000110",
2953     "00000000",
2954     "00000000",
2955     "00000000",
2956     "00000000",
2957     "00001100",
2958     "00000110",
2959     "00010000",
2960     "00111000",
2961     "01101100",
2962     "11000110",
2963     "11000110",
2964     "11111110",
2965     "11000110",
2966     "11000110",
2967     "11000110",
2968     "11000110",
2969     "00000000",
2970     "00000000",
2971     "00000000",
2972     "00000000",
2973     "00000000",
2974     "00000000",
2975     "01111100",
2976     "10000010",
2977     "10011010",
2978     "10100010",
2979     "10100010",
2980     "10100010",
2981     "10011010",
2982     "10000010",
2983     "01111100",
2984     "00000000",
2985     "00000000",
2986     "00000000",
2987     "00000000",
2988     "00000000",
2989     "00110110",
2990     "00110110",
2991     "00110110",
2992     "00110110",
2993     "00110110",
2994     "11110110",
2995     "00000110",
2996     "11110110",
2997     "00110110",
2998     "00110110",
2999     "00110110",
3000     "00110110",
3001     "00110110",
3002     "00110110",
3003     "00110110",
3004     "00110110",
3005     "00110110",
3006     "00110110",
3007     "00110110",
3008     "00110110",
3009     "00110110",
3010     "00110110",
3011     "00110110",
3012     "00110110",
3013     "00110110",
3014     "00110110",
3015     "00110110",
3016     "00110110",
3017     "00110110",
3018     "00110110",
3019     "00110110",
3020     "00110110",
3021     "00000000",
3022     "00000000",
3023     "00000000",
3024     "00000000",
3025     "00000000",
3026     "11111110",
3027     "00000110",
3028     "11110110",
3029     "00110110",
3030     "00110110",
3031     "00110110",
3032     "00110110",
3033     "00110110",
3034     "00110110",
3035     "00110110",
3036     "00110110",
3037     "00110110",
3038     "00110110",
3039     "00110110",
3040     "00110110",
3041     "00110110",
3042     "11110110",
3043     "00000110",
3044     "11111110",
3045     "00000000",
3046     "00000000",
3047     "00000000",
3048     "00000000",
3049     "00000000",
3050     "00000000",
3051     "00000000",
3052     "00000000",
3053     "00000000",
3054     "00000000",
3055     "00011000",
3056     "00011000",
3057     "01111100",
3058     "11000110",
3059     "11000000",
3060     "11000000",
3061     "11000110",
3062     "01111100",
3063     "00011000",
3064     "00011000",
3065     "00000000",
3066     "00000000",
3067     "00000000",
3068     "00000000",
3069     "00000000",
3070     "00000000",
3071     "00000000",
3072     "01100110",
3073     "01100110",
3074     "00111100",
3075     "00011000",
3076     "01111110",
3077     "00011000",
3078     "01111110",
3079     "00011000",
3080     "00011000",
3081     "00000000",
3082     "00000000",
3083     "00000000",
3084     "00000000",
3085     "00000000",
3086     "00000000",
3087     "00000000",
3088     "00000000",
3089     "00000000",
3090     "00000000",
3091     "00000000",
3092     "11111000",
3093     "00011000",
3094     "00011000",
3095     "00011000",
3096     "00011000",
3097     "00011000",
3098     "00011000",
3099     "00011000",
3100     "00011000",
3101     "00011000",
3102     "00011000",
3103     "00011000",
3104     "00011000",
3105     "00011000",
3106     "00011000",
3107     "00011000",
3108     "00011111",
3109     "00000000",
3110     "00000000",
3111     "00000000",
3112     "00000000",
3113     "00000000",
3114     "00000000",
3115     "00000000",
3116     "00000000",
3117     "00011000",
3118     "00011000",
3119     "00011000",
3120     "00011000",
3121     "00011000",
3122     "00011000",
3123     "00011000",
3124     "11111111",
3125     "00000000",
3126     "00000000",
3127     "00000000",
3128     "00000000",
3129     "00000000",
3130     "00000000",
3131     "00000000",
3132     "00000000",
3133     "00000000",
3134     "00000000",
3135     "00000000",
3136     "00000000",
3137     "00000000",
3138     "00000000",
3139     "00000000",
3140     "11111111",
3141     "00011000",
3142     "00011000",
3143     "00011000",
3144     "00011000",
3145     "00011000",
3146     "00011000",
3147     "00011000",
3148     "00011000",
3149     "00011000",
3150     "00011000",
3151     "00011000",
3152     "00011000",
3153     "00011000",
3154     "00011000",
3155     "00011000",
3156     "00011111",
3157     "00011000",
3158     "00011000",
3159     "00011000",
3160     "00011000",
3161     "00011000",
3162     "00011000",
3163     "00011000",
3164     "00011000",
3165     "00000000",
3166     "00000000",
3167     "00000000",
3168     "00000000",
3169     "00000000",
3170     "00000000",
3171     "00000000",
3172     "11111111",
3173     "00000000",
3174     "00000000",
3175     "00000000",
3176     "00000000",
3177     "00000000",
3178     "00000000",
3179     "00000000",
3180     "00000000",
3181     "00011000",
3182     "00011000",
3183     "00011000",
3184     "00011000",
3185     "00011000",
3186     "00011000",
3187     "00011000",
3188     "11111111",
3189     "00011000",
3190     "00011000",
3191     "00011000",
3192     "00011000",
3193     "00011000",
3194     "00011000",
3195     "00011000",
3196     "00011000",
3197     "00000000",
3198     "00000000",
3199     "01110110",
3200     "11011100",
3201     "00000000",
3202     "01111000",
3203     "00001100",
3204     "01111100",
3205     "11001100",
3206     "11001100",
3207     "11001100",
3208     "01110110",
3209     "00000000",
3210     "00000000",
3211     "00000000",
3212     "00000000",
3213     "01110110",
3214     "11011100",
3215     "00000000",
3216     "00111000",
3217     "01101100",
3218     "11000110",
3219     "11000110",
3220     "11111110",
3221     "11000110",
3222     "11000110",
3223     "11000110",
3224     "11000110",
3225     "00000000",
3226     "00000000",
3227     "00000000",
3228     "00000000",
3229     "00110110",
3230     "00110110",
3231     "00110110",
3232     "00110110",
3233     "00110110",
3234     "00110111",
3235     "00110000",
3236     "00111111",
3237     "00000000",
3238     "00000000",
3239     "00000000",
3240     "00000000",
3241     "00000000",
3242     "00000000",
3243     "00000000",
3244     "00000000",
3245     "00000000",
3246     "00000000",
3247     "00000000",
3248     "00000000",
3249     "00000000",
3250     "00111111",
3251     "00110000",
3252     "00110111",
3253     "00110110",
3254     "00110110",
3255     "00110110",
3256     "00110110",
3257     "00110110",
3258     "00110110",
3259     "00110110",
3260     "00110110",
3261     "00110110",
3262     "00110110",
3263     "00110110",
3264     "00110110",
3265     "00110110",
3266     "11110111",
3267     "00000000",
3268     "11111111",
3269     "00000000",
3270     "00000000",
3271     "00000000",
3272     "00000000",
3273     "00000000",
3274     "00000000",
3275     "00000000",
3276     "00000000",
3277     "00000000",
3278     "00000000",
3279     "00000000",
3280     "00000000",
3281     "00000000",
3282     "11111111",
3283     "00000000",
3284     "11110111",
3285     "00110110",
3286     "00110110",
3287     "00110110",
3288     "00110110",
3289     "00110110",
3290     "00110110",
3291     "00110110",
3292     "00110110",
3293     "00110110",
3294     "00110110",
3295     "00110110",
3296     "00110110",
3297     "00110110",
3298     "00110111",
3299     "00110000",
3300     "00110111",
3301     "00110110",
3302     "00110110",
3303     "00110110",
3304     "00110110",
3305     "00110110",
3306     "00110110",
3307     "00110110",
3308     "00110110",
3309     "00000000",
3310     "00000000",
3311     "00000000",
3312     "00000000",
3313     "00000000",
3314     "11111111",
3315     "00000000",
3316     "11111111",
3317     "00000000",
3318     "00000000",
3319     "00000000",
3320     "00000000",
3321     "00000000",
3322     "00000000",
3323     "00000000",
3324     "00000000",
3325     "00110110",
3326     "00110110",
3327     "00110110",
3328     "00110110",
3329     "00110110",
3330     "11110111",
3331     "00000000",
3332     "11110111",
3333     "00110110",
3334     "00110110",
3335     "00110110",
3336     "00110110",
3337     "00110110",
3338     "00110110",
3339     "00110110",
3340     "00110110",
3341     "00000000",
3342     "00000000",
3343     "00000000",
3344     "00000000",
3345     "11000110",
3346     "01111100",
3347     "11000110",
3348     "11000110",
3349     "11000110",
3350     "11000110",
3351     "01111100",
3352     "11000110",
3353     "00000000",
3354     "00000000",
3355     "00000000",
3356     "00000000",
3357     "00000000",
3358     "00000000",
3359     "00110100",
3360     "00011000",
3361     "00101100",
3362     "00000110",
3363     "00111110",
3364     "01100110",
3365     "01100110",
3366     "01100110",
3367     "01100110",
3368     "00111100",
3369     "00000000",
3370     "00000000",
3371     "00000000",
3372     "00000000",
3373     "00000000",
3374     "00000000",
3375     "11111000",
3376     "01101100",
3377     "01100110",
3378     "01100110",
3379     "11110110",
3380     "01100110",
3381     "01100110",
3382     "01100110",
3383     "01101100",
3384     "11111000",
3385     "00000000",
3386     "00000000",
3387     "00000000",
3388     "00000000",
3389     "00111000",
3390     "01101100",
3391     "00000000",
3392     "11111110",
3393     "01100110",
3394     "01100010",
3395     "01101000",
3396     "01111000",
3397     "01101000",
3398     "01100010",
3399     "01100110",
3400     "11111110",
3401     "00000000",
3402     "00000000",
3403     "00000000",
3404     "00000000",
3405     "00000000",
3406     "11000110",
3407     "00000000",
3408     "11111110",
3409     "01100110",
3410     "01100010",
3411     "01101000",
3412     "01111000",
3413     "01101000",
3414     "01100010",
3415     "01100110",
3416     "11111110",
3417     "00000000",
3418     "00000000",
3419     "00000000",
3420     "00000000",
3421     "00110000",
3422     "00011000",
3423     "00000000",
3424     "11111110",
3425     "01100110",
3426     "01100010",
3427     "01101000",
3428     "01111000",
3429     "01101000",
3430     "01100010",
3431     "01100110",
3432     "11111110",
3433     "00000000",
3434     "00000000",
3435     "00000000",
3436     "00000000",
3437     "00000000",
3438     "00000000",
3439     "00000000",
3440     "00000000",
3441     "00000000",
3442     "00111000",
3443     "00011000",
3444     "00011000",
3445     "00011000",
3446     "00011000",
3447     "00011000",
3448     "00111100",
3449     "00000000",
3450     "00000000",
3451     "00000000",
3452     "00000000",
3453     "00001100",
3454     "00011000",
3455     "00000000",
3456     "00111100",
3457     "00011000",
3458     "00011000",
3459     "00011000",
3460     "00011000",
3461     "00011000",
3462     "00011000",
3463     "00011000",
3464     "00111100",
3465     "00000000",
3466     "00000000",
3467     "00000000",
3468     "00000000",
3469     "00111100",
3470     "01100110",
3471     "00000000",
3472     "00111100",
3473     "00011000",
3474     "00011000",
3475     "00011000",
3476     "00011000",
3477     "00011000",
3478     "00011000",
3479     "00011000",
3480     "00111100",
3481     "00000000",
3482     "00000000",
3483     "00000000",
3484     "00000000",
3485     "00000000",
3486     "01100110",
3487     "00000000",
3488     "00111100",
3489     "00011000",
3490     "00011000",
3491     "00011000",
3492     "00011000",
3493     "00011000",
3494     "00011000",
3495     "00011000",
3496     "00111100",
3497     "00000000",
3498     "00000000",
3499     "00000000",
3500     "00000000",
3501     "00011000",
3502     "00011000",
3503     "00011000",
3504     "00011000",
3505     "00011000",
3506     "00011000",
3507     "00011000",
3508     "11111000",
3509     "00000000",
3510     "00000000",
3511     "00000000",
3512     "00000000",
3513     "00000000",
3514     "00000000",
3515     "00000000",
3516     "00000000",
3517     "00000000",
3518     "00000000",
3519     "00000000",
3520     "00000000",
3521     "00000000",
3522     "00000000",
3523     "00000000",
3524     "00011111",
3525     "00011000",
3526     "00011000",
3527     "00011000",
3528     "00011000",
3529     "00011000",
3530     "00011000",
3531     "00011000",
3532     "00011000",
3533     "11111111",
3534     "11111111",
3535     "11111111",
3536     "11111111",
3537     "11111111",
3538     "11111111",
3539     "11111111",
3540     "11111111",
3541     "11111111",
3542     "11111111",
3543     "11111111",
3544     "11111111",
3545     "11111111",
3546     "11111111",
3547     "11111111",
3548     "11111111",
3549     "00000000",
3550     "00000000",
3551     "00000000",
3552     "00000000",
3553     "00000000",
3554     "00000000",
3555     "00000000",
3556     "11111111",
3557     "11111111",
3558     "11111111",
3559     "11111111",
3560     "11111111",
3561     "11111111",
3562     "11111111",
3563     "11111111",
3564     "11111111",
3565     "00000000",
3566     "00011000",
3567     "00011000",
3568     "00011000",
3569     "00011000",
3570     "00011000",
3571     "00000000",
3572     "00000000",
3573     "00011000",
3574     "00011000",
3575     "00011000",
3576     "00011000",
3577     "00011000",
3578     "00000000",
3579     "00000000",
3580     "00000000",
3581     "00110000",
3582     "00011000",
3583     "00000000",
3584     "00111100",
3585     "00011000",
3586     "00011000",
3587     "00011000",
3588     "00011000",
3589     "00011000",
3590     "00011000",
3591     "00011000",
3592     "00111100",
3593     "00000000",
3594     "00000000",
3595     "00000000",
3596     "00000000",
3597     "11111111",
3598     "11111111",
3599     "11111111",
3600     "11111111",
3601     "11111111",
3602     "11111111",
3603     "11111111",
3604     "00000000",
3605     "00000000",
3606     "00000000",
3607     "00000000",
3608     "00000000",
3609     "00000000",
3610     "00000000",
3611     "00000000",
3612     "00000000",
3613     "00011000",
3614     "00110000",
3615     "00000000",
3616     "01111100",
3617     "11000110",
3618     "11000110",
3619     "11000110",
3620     "11000110",
3621     "11000110",
3622     "11000110",
3623     "11000110",
3624     "01111100",
3625     "00000000",
3626     "00000000",
3627     "00000000",
3628     "00000000",
3629     "00000000",
3630     "00000000",
3631     "01111000",
3632     "11001100",
3633     "11001100",
3634     "11001100",
3635     "11011000",
3636     "11001100",
3637     "11000110",
3638     "11000110",
3639     "11000110",
3640     "11001100",
3641     "00000000",
3642     "00000000",
3643     "00000000",
3644     "00000000",
3645     "00111000",
3646     "01101100",
3647     "00000000",
3648     "01111100",
3649     "11000110",
3650     "11000110",
3651     "11000110",
3652     "11000110",
3653     "11000110",
3654     "11000110",
3655     "11000110",
3656     "01111100",
3657     "00000000",
3658     "00000000",
3659     "00000000",
3660     "00000000",
3661     "00110000",
3662     "00011000",
3663     "00000000",
3664     "01111100",
3665     "11000110",
3666     "11000110",
3667     "11000110",
3668     "11000110",
3669     "11000110",
3670     "11000110",
3671     "11000110",
3672     "01111100",
3673     "00000000",
3674     "00000000",
3675     "00000000",
3676     "00000000",
3677     "00000000",
3678     "00000000",
3679     "01110110",
3680     "11011100",
3681     "00000000",
3682     "01111100",
3683     "11000110",
3684     "11000110",
3685     "11000110",
3686     "11000110",
3687     "11000110",
3688     "01111100",
3689     "00000000",
3690     "00000000",
3691     "00000000",
3692     "00000000",
3693     "01110110",
3694     "11011100",
3695     "00000000",
3696     "01111100",
3697     "11000110",
3698     "11000110",
3699     "11000110",
3700     "11000110",
3701     "11000110",
3702     "11000110",
3703     "11000110",
3704     "01111100",
3705     "00000000",
3706     "00000000",
3707     "00000000",
3708     "00000000",
3709     "00000000",
3710     "00000000",
3711     "00000000",
3712     "00000000",
3713     "00000000",
3714     "01100110",
3715     "01100110",
3716     "01100110",
3717     "01100110",
3718     "01100110",
3719     "01100110",
3720     "01111100",
3721     "01100000",
3722     "01100000",
3723     "11000000",
3724     "00000000",
3725     "00000000",
3726     "00000000",
3727     "11100000",
3728     "01100000",
3729     "01100000",
3730     "01111100",
3731     "01100110",
3732     "01100110",
3733     "01100110",
3734     "01100110",
3735     "01100110",
3736     "01111100",
3737     "01100000",
3738     "01100000",
3739     "11110000",
3740     "00000000",
3741     "00000000",
3742     "00000000",
3743     "11110000",
3744     "01100000",
3745     "01111100",
3746     "01100110",
3747     "01100110",
3748     "01100110",
3749     "01100110",
3750     "01111100",
3751     "01100000",
3752     "11110000",
3753     "00000000",
3754     "00000000",
3755     "00000000",
3756     "00000000",
3757     "00011000",
3758     "00110000",
3759     "00000000",
3760     "11000110",
3761     "11000110",
3762     "11000110",
3763     "11000110",
3764     "11000110",
3765     "11000110",
3766     "11000110",
3767     "11000110",
3768     "01111100",
3769     "00000000",
3770     "00000000",
3771     "00000000",
3772     "00000000",
3773     "00111000",
3774     "01101100",
3775     "00000000",
3776     "11000110",
3777     "11000110",
3778     "11000110",
3779     "11000110",
3780     "11000110",
3781     "11000110",
3782     "11000110",
3783     "11000110",
3784     "01111100",
3785     "00000000",
3786     "00000000",
3787     "00000000",
3788     "00000000",
3789     "00110000",
3790     "00011000",
3791     "00000000",
3792     "11000110",
3793     "11000110",
3794     "11000110",
3795     "11000110",
3796     "11000110",
3797     "11000110",
3798     "11000110",
3799     "11000110",
3800     "01111100",
3801     "00000000",
3802     "00000000",
3803     "00000000",
3804     "00000000",
3805     "00000000",
3806     "00001100",
3807     "00011000",
3808     "00110000",
3809     "00000000",
3810     "11000110",
3811     "11000110",
3812     "11000110",
3813     "11000110",
3814     "11000110",
3815     "11000110",
3816     "01111110",
3817     "00000110",
3818     "00001100",
3819     "11111000",
3820     "00000000",
3821     "00001100",
3822     "00011000",
3823     "00000000",
3824     "01100110",
3825     "01100110",
3826     "01100110",
3827     "01100110",
3828     "00111100",
3829     "00011000",
3830     "00011000",
3831     "00011000",
3832     "00111100",
3833     "00000000",
3834     "00000000",
3835     "00000000",
3836     "00000000",
3837     "00000000",
3838     "11111111",
3839     "00000000",
3840     "00000000",
3841     "00000000",
3842     "00000000",
3843     "00000000",
3844     "00000000",
3845     "00000000",
3846     "00000000",
3847     "00000000",
3848     "00000000",
3849     "00000000",
3850     "00000000",
3851     "00000000",
3852     "00000000",
3853     "00000000",
3854     "00001100",
3855     "00011000",
3856     "00110000",
3857     "00000000",
3858     "00000000",
3859     "00000000",
3860     "00000000",
3861     "00000000",
3862     "00000000",
3863     "00000000",
3864     "00000000",
3865     "00000000",
3866     "00000000",
3867     "00000000",
3868     "00000000",
3869     "00000000",
3870     "00000000",
3871     "00000000",
3872     "00000000",
3873     "00000000",
3874     "00000000",
3875     "00000000",
3876     "11111110",
3877     "00000000",
3878     "00000000",
3879     "00000000",
3880     "00000000",
3881     "00000000",
3882     "00000000",
3883     "00000000",
3884     "00000000",
3885     "00000000",
3886     "00000000",
3887     "00000000",
3888     "00000000",
3889     "00011000",
3890     "00011000",
3891     "01111110",
3892     "00011000",
3893     "00011000",
3894     "00000000",
3895     "00000000",
3896     "01111110",
3897     "00000000",
3898     "00000000",
3899     "00000000",
3900     "00000000",
3901     "00000000",
3902     "00000000",
3903     "00000000",
3904     "00000000",
3905     "00000000",
3906     "00000000",
3907     "00000000",
3908     "00000000",
3909     "00000000",
3910     "00000000",
3911     "00000000",
3912     "00000000",
3913     "11111111",
3914     "00000000",
3915     "11111111",
3916     "00000000",
3917     "00000000",
3918     "11100000",
3919     "00110000",
3920     "01100010",
3921     "00110110",
3922     "11101100",
3923     "00011000",
3924     "00110000",
3925     "01100110",
3926     "11001110",
3927     "10011010",
3928     "00111111",
3929     "00000110",
3930     "00000110",
3931     "00000000",
3932     "00000000",
3933     "00000000",
3934     "00000000",
3935     "01111111",
3936     "11011011",
3937     "11011011",
3938     "11011011",
3939     "01111011",
3940     "00011011",
3941     "00011011",
3942     "00011011",
3943     "00011011",
3944     "00011011",
3945     "00000000",
3946     "00000000",
3947     "00000000",
3948     "00000000",
3949     "00000000",
3950     "01111100",
3951     "11000110",
3952     "01100000",
3953     "00111000",
3954     "01101100",
3955     "11000110",
3956     "11000110",
3957     "01101100",
3958     "00111000",
3959     "00001100",
3960     "11000110",
3961     "01111100",
3962     "00000000",
3963     "00000000",
3964     "00000000",
3965     "00000000",
3966     "00000000",
3967     "00000000",
3968     "00000000",
3969     "00000000",
3970     "00011000",
3971     "00000000",
3972     "01111110",
3973     "00000000",
3974     "00011000",
3975     "00000000",
3976     "00000000",
3977     "00000000",
3978     "00000000",
3979     "00000000",
3980     "00000000",
3981     "00000000",
3982     "00000000",
3983     "00000000",
3984     "00000000",
3985     "00000000",
3986     "00000000",
3987     "00000000",
3988     "00000000",
3989     "00000000",
3990     "00000000",
3991     "00000000",
3992     "00011000",
3993     "00001100",
3994     "01111000",
3995     "00000000",
3996     "00000000",
3997     "00000000",
3998     "00111000",
3999     "01101100",
4000     "01101100",
4001     "00111000",
4002     "00000000",
4003     "00000000",
4004     "00000000",
4005     "00000000",
4006     "00000000",
4007     "00000000",
4008     "00000000",
4009     "00000000",
4010     "00000000",
4011     "00000000",
4012     "00000000",
4013     "00000000",
4014     "11000110",
4015     "00000000",
4016     "00000000",
4017     "00000000",
4018     "00000000",
4019     "00000000",
4020     "00000000",
4021     "00000000",
4022     "00000000",
4023     "00000000",
4024     "00000000",
4025     "00000000",
4026     "00000000",
4027     "00000000",
4028     "00000000",
4029     "00000000",
4030     "00000000",
4031     "00000000",
4032     "00000000",
4033     "00000000",
4034     "00000000",
4035     "00000000",
4036     "00011000",
4037     "00000000",
4038     "00000000",
4039     "00000000",
4040     "00000000",
4041     "00000000",
4042     "00000000",
4043     "00000000",
4044     "00000000",
4045     "00000000",
4046     "00011000",
4047     "00111000",
4048     "00011000",
4049     "00011000",
4050     "00011000",
4051     "00111100",
4052     "00000000",
4053     "00000000",
4054     "00000000",
4055     "00000000",
4056     "00000000",
4057     "00000000",
4058     "00000000",
4059     "00000000",
4060     "00000000",
4061     "00000000",
4062     "01111100",
4063     "00000110",
4064     "00111100",
4065     "00000110",
4066     "00000110",
4067     "01111100",
4068     "00000000",
4069     "00000000",
4070     "00000000",
4071     "00000000",
4072     "00000000",
4073     "00000000",
4074     "00000000",
4075     "00000000",
4076     "00000000",
4077     "00000000",
4078     "00111100",
4079     "01100110",
4080     "00001100",
4081     "00011000",
4082     "00110010",
4083     "01111110",
4084     "00000000",
4085     "00000000",
4086     "00000000",
4087     "00000000",
4088     "00000000",
4089     "00000000",
4090     "00000000",
4091     "00000000",
4092     "00000000",
4093     "00000000",
4094     "00000000",
4095     "00000000",
4096     "00000000",
4097     "01111110",
4098     "01111110",
4099     "01111110",
4100     "01111110",
4101     "01111110",
4102     "01111110",
4103     "01111110",
4104     "00000000",
4105     "00000000",
4106     "00000000",
4107     "00000000",
4108     "00000000",
4109     "00000000",
4110     "00000000",
4111     "00000000",
4112     "00000000",
4113     "00000000",
4114     "00000000",
4115     "00000000",
4116     "00000000",
4117     "00000000",
4118     "00000000",
4119     "00000000",
4120     "00000000",
4121     "00000000",
4122     "00000000",
4123     "00000000",
4124     "00000000"
4125   );
4126 end package font_pkg;