uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / textmode_vga / console_sm_sync.vhd
1 -------------------------------------------------------------------------\r
2 --\r
3 -- Filename: console_sm_sync.vhd\r
4 -- =========\r
5 --\r
6 -- Short Description:\r
7 -- ==================\r
8 --   Entity declaration of the synchronizer for the cosole mode\r
9 --   finite state machine.\r
10 --\r
11 -------------------------------------------------------------------------\r
12 \r
13 library ieee;
14 use ieee.std_logic_1164.all;
15 use work.textmode_vga_pkg.all;
16 use work.font_pkg.all;
17
18 entity console_sm_sync is
19   generic
20   (
21     SYNC_STAGES    : integer
22   );
23   port
24   (
25     sys_clk, sys_res_n : in std_logic;
26     command_sys : in std_logic_vector(COMMAND_SIZE - 1 downto 0);
27     command_data_sys : in std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE - 1 downto 0);
28     free_sys : out std_logic;
29     
30     vga_clk, vga_res_n : in std_logic;
31     command_vga : out std_logic_vector(COMMAND_SIZE - 1 downto 0);
32     command_data_vga : out std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE - 1 downto 0);
33     command_req_vga : out std_logic;
34     ack_vga : in std_logic
35   );
36 end entity console_sm_sync;