1 -------------------------------------------------------------------------
\r
3 -- Filename: console_sm_sync.vhd
\r
6 -- Short Description:
\r
7 -- ==================
\r
8 -- Entity declaration of the synchronizer for the cosole mode
\r
9 -- finite state machine.
\r
11 -------------------------------------------------------------------------
\r
14 use ieee.std_logic_1164.all;
15 use work.textmode_vga_pkg.all;
16 use work.font_pkg.all;
18 entity console_sm_sync is
25 sys_clk, sys_res_n : in std_logic;
26 command_sys : in std_logic_vector(COMMAND_SIZE - 1 downto 0);
27 command_data_sys : in std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE - 1 downto 0);
28 free_sys : out std_logic;
30 vga_clk, vga_res_n : in std_logic;
31 command_vga : out std_logic_vector(COMMAND_SIZE - 1 downto 0);
32 command_data_vga : out std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE - 1 downto 0);
33 command_req_vga : out std_logic;
34 ack_vga : in std_logic
36 end entity console_sm_sync;