uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / textmode_vga / console_sm.vhd
1 -------------------------------------------------------------------------\r
2 --\r
3 -- Filename: console_sm.vhd\r
4 -- =========\r
5 --\r
6 -- Short Description:\r
7 -- ==================\r
8 --   Console mode finite state machine entity declaration\r
9 --\r
10 -------------------------------------------------------------------------\r
11 \r
12 library ieee;
13 use ieee.std_logic_1164.all;
14 use work.textmode_vga_pkg.all;
15 use work.textmode_vga_platform_dependent_pkg.all;
16 use work.font_pkg.all;
17 use work.math_pkg.all;
18
19 entity console_sm is
20   port
21   (
22     vga_clk, vga_res_n : in std_logic;
23     command : in std_logic_vector(COMMAND_SIZE - 1 downto 0);
24     command_data : in std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE - 1 downto 0);
25     command_req : in std_logic;
26     ack : out std_logic;
27
28     column_address : out std_logic_vector(log2c(COLUMN_COUNT) - 1 downto 0);
29     row_address : out std_logic_vector(log2c(LINE_COUNT) - 1 downto 0);
30     data : out std_logic_vector(RED_BITS + GREEN_BITS + BLUE_BITS + CHAR_SIZE - 1 downto 0);
31     wr : out std_logic;
32     scroll_address : out std_logic_vector(log2c(LINE_COUNT) - 1 downto 0);
33     background_color     : out std_logic_vector(RED_BITS + GREEN_BITS + BLUE_BITS - 1 downto 0);
34     cursor_color     : out std_logic_vector(RED_BITS + GREEN_BITS + BLUE_BITS - 1 downto 0);
35     cursor_state     : out CURSOR_STATE_TYPE
36   ); 
37 end entity console_sm;