uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / ps2 / ps2_transceiver.vhd
1 -------------------------------------------------------------------------\r
2 --\r
3 -- Filename: ps2_transceiver.vhd\r
4 -- =========\r
5 --\r
6 -- Short Description:\r
7 -- ==================\r
8 --   PS/2 transceiver entity\r
9 --\r
10 -------------------------------------------------------------------------\r
11 \r
12 library ieee;\r
13 use ieee.std_logic_1164.all;\r
14 \r
15 entity ps2_transceiver is\r
16   generic\r
17   (\r
18     CLK_FREQ : integer;\r
19     SYNC_STAGES : integer\r
20   );\r
21   port\r
22   (\r
23     sys_clk, sys_res_n       : in    std_logic;\r
24     \r
25     ps2_clk, ps2_data        : inout std_logic;\r
26     \r
27     send_request             : in    std_logic;\r
28     input_data               : in    std_logic_vector(7 downto 0);\r
29     input_data_send_ok       : out   std_logic;\r
30     input_data_send_finished : out   std_logic;\r
31     \r
32     output_data              : out   std_logic_vector(7 downto 0);\r
33     new_data                 : out   std_logic\r
34   );\r
35 end entity ps2_transceiver;\r