1 -------------------------------------------------------------------------
\r
3 -- Filename: ps2_keyboard_controller_pkg.vhd
\r
6 -- Short Description:
\r
7 -- ==================
\r
8 -- Component declaration of the PS/2 keyboard controller
\r
10 -------------------------------------------------------------------------
\r
13 use ieee.std_logic_1164.all;
15 package ps2_keyboard_controller_pkg is
16 component ps2_keyboard_controller is
24 sys_clk, sys_res_n : in std_logic;
26 ps2_clk, ps2_data : inout std_logic;
27 new_data : out std_logic;
28 data : out std_logic_vector(7 downto 0)
30 end component ps2_keyboard_controller;
31 end package ps2_keyboard_controller_pkg;