uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git] / src / ps2 / ps2_keyboard_controller_pkg.vhd
1 -------------------------------------------------------------------------\r
2 --\r
3 -- Filename: ps2_keyboard_controller_pkg.vhd\r
4 -- =========\r
5 --\r
6 -- Short Description:\r
7 -- ==================\r
8 --   Component declaration of the PS/2 keyboard controller\r
9 --\r
10 -------------------------------------------------------------------------\r
11 \r
12 library ieee;
13 use ieee.std_logic_1164.all;
14
15 package ps2_keyboard_controller_pkg is
16   component ps2_keyboard_controller is
17     generic
18     (
19       CLK_FREQ : integer;\r
20       SYNC_STAGES : integer
21     );
22     port
23     (
24       sys_clk, sys_res_n : in std_logic;
25       
26       ps2_clk, ps2_data : inout std_logic;
27       new_data : out std_logic;
28       data : out std_logic_vector(7 downto 0)
29     );
30   end component ps2_keyboard_controller;
31 end package ps2_keyboard_controller_pkg;