cleanup of warnings and latches and possible gated clocks
[hwmod.git] / src / pc_communication.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.gen_pkg.all;
5
6 entity pc_communication is
7         port (
8                 sys_clk : in std_logic;
9                 sys_res_n : in std_logic;
10
11                 --button
12                 btn_a : in std_logic;
13
14                 --uart_tx
15                 tx_data : out std_logic_vector(7 downto 0);
16                 tx_new : out std_logic;
17                 tx_done : in std_logic;
18
19                 --uart_rx
20                 rx_data : in std_logic_vector(7 downto 0);
21                 rx_new : in std_logic;
22
23                 -- History
24                 d_zeile : out hzeile;
25                 d_spalte : out hspalte;
26                 d_get :  out std_logic;
27                 d_done : in std_logic;
28                 d_char : in hbyte
29         );
30 end entity pc_communication;
31
32 architecture beh of pc_communication is
33         signal spalte, spalte_next : integer range 1 to hspalte_max + 1;
34         signal zeile , zeile_next : integer range 1 to hzeile_max + 1;
35         signal spalte_up, spalte_up_next : std_logic;
36         signal get, get_next : std_logic;
37         signal new_i, new_i_next : std_logic;
38         signal tx_done_i, tx_done_i_next : std_logic;
39         signal tx_data_i, tx_data_i_next : std_logic_vector (7 downto 0);
40         signal s_done, s_done_next : std_logic;
41
42         signal char, char_next : hbyte;
43         signal char_en : std_logic;
44         type STATE_PC is (IDLE, FETCH, FORWARD, DONE);
45         signal state, state_next : STATE_PC ;
46
47 begin
48
49
50         d_zeile <= hzeile(std_logic_vector(to_unsigned(zeile,7)));
51         d_spalte <= hspalte(std_logic_vector(to_unsigned(spalte,7)));
52         d_get <= get;
53         char_next <= d_char;
54         tx_new <= new_i;
55         tx_done_i_next <= tx_done;
56         tx_data <= tx_data_i;
57
58         sync: process (sys_clk, sys_res_n)
59         begin
60                 if sys_res_n = '0' then
61                         state <= IDLE;
62                         spalte <= 1;
63                         zeile <= 1;
64                         get <= '0';
65                         new_i <= '0';
66                         tx_data_i <= "00000000";
67                         spalte_up <= '0';
68                         tx_done_i <= '0';
69                         s_done <= '0';
70                 elsif rising_edge(sys_clk) then
71                         spalte <= spalte_next;
72                         zeile <= zeile_next;
73                         state <= state_next;
74                         get <= get_next;
75                         new_i <= new_i_next;
76                         tx_done_i <= tx_done_i_next;
77                         tx_data_i <= tx_data_i_next;
78                         spalte_up <= spalte_up_next;
79                         s_done <= s_done_next;
80                         if (char_en = '1') then
81                                 char <= char_next;
82                         end if;
83                 end if;
84         end process sync;
85
86         output_pc : process (state, zeile, spalte, char, tx_data_i, tx_done_i, spalte_up)
87         begin
88                 get_next <= '0';
89                 new_i_next <= '0';
90
91                 spalte_up_next <= '0';
92                 s_done_next <= '0';
93                 spalte_next <= spalte;
94                 zeile_next <= zeile;
95                 tx_data_i_next <= tx_data_i;
96                 char_en <= '0';
97
98                 if spalte_up = '1' then
99                         if spalte = hspalte_max  then
100                                 if zeile = hzeile_max then
101                                         spalte_next <= 1;
102                                         zeile_next <= 1;
103                                         s_done_next <= '1';
104                                 else
105                                         spalte_next <= 1;
106                                         zeile_next <= zeile + 1;
107                                 end if;
108                         else
109                                 spalte_next <= spalte + 1; --overflow here!
110                                 zeile_next <= zeile;
111                         end if;
112                 end if;
113
114                 case state is
115                         when IDLE =>
116                                 null;
117                         when FETCH =>
118                                 get_next <= '1';
119                                 char_en <= '1';
120                         when FORWARD =>
121                                 tx_data_i_next <= char;
122                                 new_i_next <= '1';
123                                 if (tx_done_i = '1') then
124                                         spalte_up_next <= '1';
125                                 end if;
126
127                         when DONE =>
128                                 null;
129                 end case;
130         end process output_pc;
131
132         next_state_pc : process (state, rx_new, rx_data, btn_a, d_done, tx_done_i, s_done)
133         begin
134                 state_next <= state;
135                 case state is
136                         when IDLE =>
137                                 if (rx_new = '1' and rx_data = x"0a" ) or btn_a = '1' then
138                                         state_next <= FETCH;
139                                 end if;
140                         when FETCH =>
141                                 if (d_done = '1') then
142                                         state_next <= FORWARD;
143                                 elsif (s_done = '1') then
144                                         state_next <= IDLE;
145                                 end if;
146                         when FORWARD =>
147                                 if (tx_done_i = '1') then
148                                         state_next <= FETCH;
149                                 end if;
150                         when DONE =>
151                                 state_next <= IDLE;
152                 end case;
153         end process next_state_pc;
154
155 end architecture beh;