290f1fd1914104add23882c7ca13fcd662324270
[hwmod.git] / src / pc_communication.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.gen_pkg.all;
5
6 entity pc_communication is
7         port (
8                 sys_clk : in std_logic;
9                 sys_res_n : in std_logic;
10                 --button
11                 btn_a : in std_logic;
12                 --uart_tx
13                 tx_data : out std_logic_vector(7 downto 0);
14                 tx_new : out std_logic;
15                 tx_done : in std_logic;
16                 --uart_rx
17                 rx_data : in std_logic_vector(7 downto 0);
18                 rx_new : in std_logic;
19                 -- History
20                 pc_zeile : out hzeile;
21                 pc_spalte : out hspalte;
22                 pc_get :  out std_logic;
23                 pc_done : in std_logic;
24                 pc_char : in hbyte
25         );
26 end entity pc_communication;
27
28 architecture beh of pc_communication is
29         signal spalte, spalte_next : integer range 1 to HSPALTE_MAX + 2;
30         signal zeile , zeile_next : integer range 0 to HZEILE_MAX + 1;
31         signal get, get_next : std_logic;
32         signal new_i, new_i_next : std_logic;
33         signal tx_done_i, tx_done_i_next : std_logic;
34         signal tx_data_i, tx_data_i_next : std_logic_vector (7 downto 0);
35
36         type STATE_PC is (IDLE, FETCH, FORWARD, UART_DONE, CR, CR_WAIT,
37                 NL, NL_WAIT, PRINT_NO1, PRINT_NO1_WAIT, PRINT_NO2, PRINT_NO2_WAIT,
38                 PRINT_NO3, PRINT_NO3_WAIT, PRINT_NO4, PRINT_NO4_WAIT, PRINT_NO5,
39                 PRINT_NO5_WAIT, PRINT_NO6);
40         signal state, state_next : STATE_PC ;
41 begin
42         pc_zeile <= hzeile(std_logic_vector(to_unsigned(zeile,7)));
43         pc_spalte <= hspalte(std_logic_vector(to_unsigned(spalte,7)));
44         pc_get <= get;
45         tx_new <= new_i;
46         tx_done_i_next <= tx_done;
47         tx_data <= tx_data_i;
48
49         sync: process (sys_clk, sys_res_n)
50         begin
51                 if sys_res_n = '0' then
52                         state <= IDLE;
53                         spalte <= 1;
54                         zeile <= 0;
55                         get <= '0';
56                         new_i <= '0';
57                         tx_data_i <= x"00";
58                         tx_done_i <= '0';
59                 elsif rising_edge(sys_clk) then
60                         spalte <= spalte_next;
61                         zeile <= zeile_next;
62                         state <= state_next;
63                         get <= get_next;
64                         new_i <= new_i_next;
65                         tx_done_i <= tx_done_i_next;
66                         tx_data_i <= tx_data_i_next;
67                 end if;
68         end process sync;
69
70         process (state, zeile, spalte, tx_data_i, tx_done_i, pc_char, rx_new, btn_a,
71                         pc_done, rx_data)
72                 variable tmp : std_logic_vector(6 downto 0);
73         begin
74                 get_next <= '0';
75                 new_i_next <= '0';
76                 spalte_next <= spalte;
77                 zeile_next <= zeile;
78                 tx_data_i_next <= tx_data_i;
79
80                 state_next <= state;
81                 case state is
82                         when IDLE =>
83                                 --if (rx_new = '1' and rx_data = x"0a") or btn_a = '0' then
84                                 if (rx_new = '1' or btn_a = '0') and tx_done_i = '0' then
85                                         state_next <= PRINT_NO1;
86                                 end if;
87
88                         when PRINT_NO1 =>
89                                 tx_data_i_next <= x"28"; -- '('
90                                 new_i_next <= '1';
91                                 if tx_done_i = '1' then
92                                         state_next <= PRINT_NO1_WAIT;
93                                 end if;
94                         when PRINT_NO1_WAIT =>
95                                 if tx_done_i = '0' then
96                                         state_next <= PRINT_NO2;
97                                 end if;
98                         when PRINT_NO2 =>
99                                 tx_data_i_next <= zeile2char(std_logic_vector(to_unsigned(zeile,7)), 1);
100                                 new_i_next <= '1';
101                                 if tx_done_i = '1' then
102                                         state_next <= PRINT_NO2_WAIT;
103                                 end if;
104                         when PRINT_NO2_WAIT =>
105                                 if tx_done_i = '0' then
106                                         state_next <= PRINT_NO3;
107                                 end if;
108                         when PRINT_NO3 =>
109                                 tx_data_i_next <= zeile2char(std_logic_vector(to_unsigned(zeile,7)), 2);
110                                 new_i_next <= '1';
111                                 if tx_done_i = '1' then
112                                         state_next <= PRINT_NO3_WAIT;
113                                 end if;
114                         when PRINT_NO3_WAIT =>
115                                 if tx_done_i = '0' then
116                                         state_next <= PRINT_NO4;
117                                 end if;
118                         when PRINT_NO4 =>
119                                 tx_data_i_next <= x"29"; -- ')'
120                                 new_i_next <= '1';
121                                 if tx_done_i = '1' then
122                                         state_next <= PRINT_NO4_WAIT;
123                                 end if;
124                         when PRINT_NO4_WAIT =>
125                                 if tx_done_i = '0' then
126                                         state_next <= PRINT_NO5;
127                                 end if;
128                         when PRINT_NO5 =>
129                                 tx_data_i_next <= x"24"; -- '$'
130                                 new_i_next <= '1';
131                                 if tx_done_i = '1' then
132                                         state_next <= PRINT_NO5_WAIT;
133                                 end if;
134                         when PRINT_NO5_WAIT =>
135                                 if tx_done_i = '0' then
136                                         state_next <= PRINT_NO6;
137                                 end if;
138                         when PRINT_NO6 =>
139                                 tx_data_i_next <= x"20"; -- ' '
140                                 new_i_next <= '1';
141                                 if tx_done_i = '1' then
142                                         state_next <= FETCH;
143                                 end if;
144
145                         when FETCH =>
146                                 get_next <= '1';
147                                 if pc_done = '1' and tx_done_i = '0' then
148                                         state_next <= FORWARD;
149                                         if pc_char = x"00" then
150                                                 state_next <= UART_DONE;
151                                         end if;
152                                 end if;
153                         when FORWARD =>
154                                 tx_data_i_next <= pc_char;
155                                 new_i_next <= '1';
156                                 -- halte pc_get weiterhin high sodass pc_char garantiert
157                                 -- gleicht bleibt (blockiert history!)
158                                 get_next <= '1';
159                                 if tx_done_i = '1' then
160                                         state_next <= UART_DONE;
161                                 end if;
162                         when UART_DONE =>
163                                 if tx_done_i = '0' then
164                                         state_next <= FETCH;
165                                         spalte_next <= spalte + 1;
166                                         if spalte = HSPALTE_MAX + 1 then
167                                                 state_next <= NL;
168                                                 spalte_next <= 1;
169                                                 zeile_next <= zeile + 1;
170                                         end if;
171                                 end if;
172                         when NL =>
173                                 tx_data_i_next <= x"0a";
174                                 new_i_next <= '1';
175                                 if tx_done_i = '1' then
176                                         state_next <= NL_WAIT;
177                                 end if;
178                         when NL_WAIT =>
179                                 if tx_done_i = '0' then
180                                         state_next <= CR;
181                                 end if;
182                         when CR =>
183                                 tx_data_i_next <= x"0d";
184                                 new_i_next <= '1';
185                                 if tx_done_i = '1' then
186                                         state_next <= CR_WAIT;
187                                 end if;
188                         when CR_WAIT =>
189                                 if tx_done_i = '0' then
190                                         tmp := std_logic_vector(to_unsigned(zeile,7));
191                                         if tmp(0) = '0' then
192                                                 -- es handelt sich um eingabe im naechsten schritt
193                                                 -- => print zeilennummer
194                                                 state_next <= PRINT_NO1;
195                                         else
196                                                 state_next <= FETCH;
197                                         end if;
198                                 end if;
199                                 if zeile = HZEILE_MAX then
200                                         state_next <= IDLE;
201                                         zeile_next <= 0;
202                                         spalte_next <= 1;
203                                 end if;
204                 end case;
205         end process;
206 end architecture beh;