parser: erste gehversuche. im moment wird die eingabe einfach zurueckgegeben zur...
[hwmod.git] / src / gen_pkg.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4
5 package gen_pkg is
6         subtype alu_ops is std_logic_vector(2 downto 0);
7         constant ALU_NOP : alu_ops := "000";
8         constant ALU_SUB : alu_ops := "001";
9         constant ALU_ADD : alu_ops := "010";
10         constant ALU_MUL : alu_ops := "011";
11         constant ALU_DIV : alu_ops := "100";
12         constant ALU_DONE : alu_ops := "101";
13
14         constant CBITS : integer := 32;
15         subtype csigned is signed((CBITS-1) downto 0);
16         --TODO: bei CBITS-1 gibts einen overflow :/
17         subtype cinteger is integer range -(2**(CBITS-2)) to ((2**(CBITS-2))-1);
18
19         subtype hspalte is std_logic_vector(6 downto 0);
20         subtype hzeile is std_logic_vector(4 downto 0);
21         subtype hbyte is std_logic_vector(7 downto 0);
22         subtype hstring is string(1 to 71);
23
24         function find_msb(a : csigned) return natural;
25         procedure icwait(signal clk_i : IN std_logic; cycles: Natural);
26 end package gen_pkg;
27
28 package body gen_pkg is
29         function find_msb(a : csigned) return natural is
30                 variable r : natural := 0;
31         begin
32                 for i in (CBITS-1) downto 0 loop
33                         exit when a(i) = '1';
34                         r := r+1;
35                 end loop;
36                 return (CBITS - r);
37         end function find_msb;
38
39         procedure icwait(signal clk_i : IN std_logic; cycles: Natural) is
40         begin
41                 for i in 1 to cycles loop
42                         wait until clk_i= '0' and clk_i'event;
43                 end loop;
44         end;
45 end package body gen_pkg;
46