dfe664c1fb05b077a27ef2e4ae84de0cf9bb117c
[hwmod.git] / src / gen_pkg.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.math_pkg.all;
5
6 package gen_pkg is
7         subtype alu_ops is std_logic_vector(2 downto 0);
8         constant ALU_NOP : alu_ops := "000";
9         constant ALU_SUB : alu_ops := "001";
10         constant ALU_ADD : alu_ops := "010";
11         constant ALU_MUL : alu_ops := "011";
12         constant ALU_DIV : alu_ops := "100";
13         constant ALU_DONE : alu_ops := "101";
14
15         constant CBITS : integer := 32;
16         subtype csigned is signed((CBITS-1) downto 0);
17         subtype divinteger is unsigned(4 downto 0);
18         -- integer ist 32bit (31bit + sign)
19         subtype cinteger is integer;
20
21
22         constant HSPALTE_MAX : integer := 71;
23         subtype hspalte is std_logic_vector(6 downto 0);
24
25         constant HZEILE_MAX : integer := 100;
26         subtype hzeile is std_logic_vector(6 downto 0);
27
28         -- 50 zeilen * 71 zeichen * 2 (berechnung + ergebnis) = 7100 bytes
29         constant H_RAM_SIZE : integer := HZEILE_MAX * HSPALTE_MAX;
30         constant H_RAM_WIDTH : integer := log2c(H_RAM_SIZE);
31
32         subtype hbyte is std_logic_vector(7 downto 0);
33         subtype hstring is string(1 to HSPALTE_MAX+1);
34         subtype hstr_int is integer range 0 to HSPALTE_MAX+1;
35
36         procedure icwait(signal clk_i : IN std_logic; cycles: natural);
37
38         function ascii2sc (x : hbyte) return hbyte;
39         function valid_char (x : hbyte) return boolean;
40
41         -- http://www.marjorie.de/ps2/scancode-set2.htm
42         constant SC_KP_0 : hbyte := x"70";
43         constant SC_KP_1 : hbyte := x"69";
44         constant SC_KP_2 : hbyte := x"72";
45         constant SC_KP_3 : hbyte := x"7a";
46         constant SC_KP_4 : hbyte := x"6b";
47         constant SC_KP_5 : hbyte := x"73";
48         constant SC_KP_6 : hbyte := x"74";
49         constant SC_KP_7 : hbyte := x"6c";
50         constant SC_KP_8 : hbyte := x"75";
51         constant SC_KP_9 : hbyte := x"7d";
52
53         constant SC_0 : hbyte := x"45";
54         constant SC_1 : hbyte := x"16";
55         constant SC_2 : hbyte := x"1e";
56         constant SC_3 : hbyte := x"26";
57         constant SC_4 : hbyte := x"25";
58         constant SC_5 : hbyte := x"2e";
59         constant SC_6 : hbyte := x"36";
60         constant SC_7 : hbyte := x"3d";
61         constant SC_8 : hbyte := x"3e";
62         constant SC_9 : hbyte := x"46";
63
64         constant SC_KP_PLUS : hbyte := x"79";
65         constant SC_KP_MINUS : hbyte := x"7b";
66         constant SC_KP_MUL : hbyte := x"7c";
67         constant SC_KP_DIV : hbyte := x"4a"; -- inkl. 0xe0!
68
69         -- fuer deutsches layout, alle anderen zeichen sind unguenstig belegt
70         constant SC_PLUS : hbyte := x"5b";
71
72         constant SC_ENTER : hbyte := x"5a";
73         constant SC_BKSP : hbyte := x"66";
74         constant SC_SPACE : hbyte := x"29";
75 end package gen_pkg;
76
77 package body gen_pkg is
78         procedure icwait(signal clk_i : IN std_logic; cycles: Natural) is
79         begin
80                 for i in 1 to cycles loop
81                         wait until clk_i= '0' and clk_i'event;
82                 end loop;
83         end;
84
85         function ascii2sc (x : hbyte) return hbyte is
86                 variable y : hbyte;
87         begin
88                 case x is
89                         when x"30" => y := SC_KP_0;
90                         when x"31" => y := SC_KP_1;
91                         when x"32" => y := SC_KP_2;
92                         when x"33" => y := SC_KP_3;
93                         when x"34" => y := SC_KP_4;
94                         when x"35" => y := SC_KP_5;
95                         when x"36" => y := SC_KP_6;
96                         when x"37" => y := SC_KP_7;
97                         when x"38" => y := SC_KP_8;
98                         when x"39" => y := SC_KP_9;
99                         when x"2b" => y := SC_KP_PLUS;
100                         when x"2d" => y := SC_KP_MINUS;
101                         when x"2a" => y := SC_KP_MUL;
102                         when x"2f" => y := SC_KP_DIV;
103                         when x"20" => y := SC_SPACE;
104                         when x"1c" => y := SC_ENTER;
105                         when x"0e" => y := SC_BKSP;
106                         when others => y := x"41";
107                 end case;
108                 return y;
109         end function;
110
111         function valid_char (x : hbyte) return boolean is
112                 variable y : boolean;
113         begin
114                 case x is
115                         when SC_KP_0 | SC_KP_1 | SC_KP_2 | SC_KP_3 |
116                                 SC_KP_4 | SC_KP_5 | SC_KP_6 | SC_KP_7 |
117                                 SC_KP_8 | SC_KP_9 | SC_KP_PLUS |
118                                 SC_KP_MINUS | SC_KP_MUL |
119                                 SC_KP_DIV | SC_SPACE |
120                                 SC_BKSP | SC_ENTER =>
121                                         y := true;
122                         when others => y := false;
123                 end case;
124                 return y;
125         end function;
126 end package body gen_pkg;