alu: bessere find_msb, von 1295 auf 1054 logic cells (fuer alu)
[hwmod.git] / src / gen_pkg.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.math_pkg.all;
5
6 package gen_pkg is
7         subtype alu_ops is std_logic_vector(2 downto 0);
8         constant ALU_NOP : alu_ops := "000";
9         constant ALU_SUB : alu_ops := "001";
10         constant ALU_ADD : alu_ops := "010";
11         constant ALU_MUL : alu_ops := "011";
12         constant ALU_DIV : alu_ops := "100";
13         constant ALU_DONE : alu_ops := "101";
14
15         constant CBITS : integer := 32;
16         subtype csigned is signed((CBITS-1) downto 0);
17         subtype divinteger is unsigned(4 downto 0);
18         -- integer ist 32bit (31bit + sign)
19         subtype cinteger is integer;
20
21         -- 50 zeilen * 71 zeichen * 2 (berechnung + ergebnis) = 7100 bytes
22         constant H_RAM_SIZE : integer := 7100;
23         constant H_RAM_WIDTH : integer := log2c(H_RAM_SIZE);
24         subtype hspalte is std_logic_vector(6 downto 0);
25         subtype hzeile is std_logic_vector(6 downto 0);
26         subtype hbyte is std_logic_vector(7 downto 0);
27         subtype hstring is string(1 to 72);
28         subtype hstr_int is integer range 0 to 72;
29
30         function find_msb(a : std_logic_vector) return std_logic_vector;
31         procedure icwait(signal clk_i : IN std_logic; cycles: natural);
32
33         -- http://www.marjorie.de/ps2/scancode-set2.htm
34         constant SC_KP_0 : std_logic_vector(7 downto 0) := x"70";
35         constant SC_KP_1 : std_logic_vector(7 downto 0) := x"69";
36         constant SC_KP_2 : std_logic_vector(7 downto 0) := x"72";
37         constant SC_KP_3 : std_logic_vector(7 downto 0) := x"7a";
38         constant SC_KP_4 : std_logic_vector(7 downto 0) := x"6b";
39         constant SC_KP_5 : std_logic_vector(7 downto 0) := x"73";
40         constant SC_KP_6 : std_logic_vector(7 downto 0) := x"74";
41         constant SC_KP_7 : std_logic_vector(7 downto 0) := x"6c";
42         constant SC_KP_8 : std_logic_vector(7 downto 0) := x"75";
43         constant SC_KP_9 : std_logic_vector(7 downto 0) := x"7d";
44
45         constant SC_KP_PLUS : std_logic_vector(7 downto 0) := x"79";
46         constant SC_KP_MINUS : std_logic_vector(7 downto 0) := x"7b";
47         constant SC_KP_MUL : std_logic_vector(7 downto 0) := x"7c";
48         constant SC_KP_DIV : std_logic_vector(7 downto 0) := x"4a"; -- inkl. 0xe0!
49
50         constant SC_ENTER : std_logic_vector(7 downto 0) := x"5a";
51         constant SC_BKSP : std_logic_vector(7 downto 0) := x"66";
52         constant SC_SPACE : std_logic_vector(7 downto 0) := x"29";
53 end package gen_pkg;
54
55 package body gen_pkg is
56         -- http://www.velocityreviews.com/forums/showpost.php?p=137148&postcount=5
57         function find_msb(a : std_logic_vector) return std_logic_vector is
58                 function bits_to_fit(n : positive) return natural is
59                         variable nn, bits : natural := 0;
60                 begin
61                         nn := n;
62                         while nn > 0 loop
63                                 bits := bits + 1;
64                                 nn := nn/2;
65                         end loop;
66                         return bits;
67                 end;
68
69                 function or_all(p : std_logic_vector) return std_logic is
70                         variable r : std_logic;
71                 begin
72                         r := '0';
73                         for i in p'range loop
74                                 r := r or p(i);
75                         end loop;
76                         return r;
77                 end;
78
79                 constant wN : positive := bits_to_fit(a'length - 1);
80                 constant wP : positive := 2 ** wN;
81                 variable pv : std_logic_vector(wP-1 downto 0);
82                 variable n : std_logic_vector(wN downto 1);
83         begin
84                 if a'length <= 2 then
85                         n(n'right) := a(a'left);
86                 else
87                         pv(a'length-1 downto 0) := a;
88                         if or_all(pv(wP-1 downto wP/2)) = '1' then
89                                 n := '1' & find_msb((pv(wP-1 downto wP/2)));
90                         else
91                                 n := '0' & find_msb((pv(wP/2-1 downto 0)));
92                         end if;
93                 end if;
94                 return n;
95         end function find_msb;
96         -- -- alternativ: eleganter, braucht aber mehr logic cells
97         -- for i in (CBITS-1) downto 0 loop
98         --       exit when a(i) = '1';
99         --       r := r+1;
100         -- end loop;
101         -- return (CBITS - r);
102
103         procedure icwait(signal clk_i : IN std_logic; cycles: Natural) is
104         begin
105                 for i in 1 to cycles loop
106                         wait until clk_i= '0' and clk_i'event;
107                 end loop;
108         end;
109 end package body gen_pkg;
110