216d9f0e9962259e3f9cf7d578897a588a582069
[hwmod.git] / src / gen_pkg.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.math_pkg.all;
5
6 package gen_pkg is
7         subtype alu_ops is std_logic_vector(2 downto 0);
8         constant ALU_NOP : alu_ops := "000";
9         constant ALU_SUB : alu_ops := "001";
10         constant ALU_ADD : alu_ops := "010";
11         constant ALU_MUL : alu_ops := "011";
12         constant ALU_DIV : alu_ops := "100";
13         constant ALU_DONE : alu_ops := "101";
14
15         constant CBITS : integer := 32;
16         subtype csigned is signed((CBITS-1) downto 0);
17         subtype divinteger is unsigned(4 downto 0);
18         -- integer ist 32bit (31bit + sign)
19         subtype cinteger is integer;
20
21         -- 50 zeilen * 71 zeichen * 2 (berechnung + ergebnis) = 7100 bytes
22         constant hspalte_max : integer := 71;
23         constant hzeile_max : integer := 100;
24         constant H_RAM_SIZE : integer := 7100;
25         constant H_RAM_WIDTH : integer := log2c(H_RAM_SIZE);
26         subtype hspalte is std_logic_vector(6 downto 0);
27         subtype hzeile is std_logic_vector(6 downto 0);
28         subtype hbyte is std_logic_vector(7 downto 0);
29         subtype hstring is string(1 to 72);
30         subtype hstr_int is integer range 0 to 72;
31
32         procedure icwait(signal clk_i : IN std_logic; cycles: natural);
33
34         function ascii2sc (x : hbyte) return hbyte;
35         function valid_char (x : hbyte) return boolean;
36
37         -- http://www.marjorie.de/ps2/scancode-set2.htm
38         constant SC_KP_0 : hbyte := x"70";
39         constant SC_KP_1 : hbyte := x"69";
40         constant SC_KP_2 : hbyte := x"72";
41         constant SC_KP_3 : hbyte := x"7a";
42         constant SC_KP_4 : hbyte := x"6b";
43         constant SC_KP_5 : hbyte := x"73";
44         constant SC_KP_6 : hbyte := x"74";
45         constant SC_KP_7 : hbyte := x"6c";
46         constant SC_KP_8 : hbyte := x"75";
47         constant SC_KP_9 : hbyte := x"7d";
48
49         constant SC_0 : hbyte := x"45";
50         constant SC_1 : hbyte := x"16";
51         constant SC_2 : hbyte := x"1e";
52         constant SC_3 : hbyte := x"26";
53         constant SC_4 : hbyte := x"25";
54         constant SC_5 : hbyte := x"2e";
55         constant SC_6 : hbyte := x"36";
56         constant SC_7 : hbyte := x"3d";
57         constant SC_8 : hbyte := x"3e";
58         constant SC_9 : hbyte := x"46";
59
60         constant SC_KP_PLUS : hbyte := x"79";
61         constant SC_KP_MINUS : hbyte := x"7b";
62         constant SC_KP_MUL : hbyte := x"7c";
63         constant SC_KP_DIV : hbyte := x"4a"; -- inkl. 0xe0!
64
65         -- fuer deutsches layout, alle anderen zeichen sind unguenstig belegt
66         constant SC_PLUS : hbyte := x"5b";
67
68         constant SC_ENTER : hbyte := x"5a";
69         constant SC_BKSP : hbyte := x"66";
70         constant SC_SPACE : hbyte := x"29";
71 end package gen_pkg;
72
73 package body gen_pkg is
74         procedure icwait(signal clk_i : IN std_logic; cycles: Natural) is
75         begin
76                 for i in 1 to cycles loop
77                         wait until clk_i= '0' and clk_i'event;
78                 end loop;
79         end;
80
81         function ascii2sc (x : hbyte) return hbyte is
82                 variable y : hbyte;
83         begin
84                 case x is
85                         when x"30" => y := SC_KP_0;
86                         when x"31" => y := SC_KP_1;
87                         when x"32" => y := SC_KP_2;
88                         when x"33" => y := SC_KP_3;
89                         when x"34" => y := SC_KP_4;
90                         when x"35" => y := SC_KP_5;
91                         when x"36" => y := SC_KP_6;
92                         when x"37" => y := SC_KP_7;
93                         when x"38" => y := SC_KP_8;
94                         when x"39" => y := SC_KP_9;
95                         when x"2b" => y := SC_KP_PLUS;
96                         when x"2d" => y := SC_KP_MINUS;
97                         when x"2a" => y := SC_KP_MUL;
98                         when x"2f" => y := SC_KP_DIV;
99                         when x"20" => y := SC_SPACE;
100                         when x"1c" => y := SC_ENTER;
101                         when x"0e" => y := SC_BKSP;
102                         when others => y := x"41";
103                 end case;
104                 return y;
105         end function;
106
107         function valid_char (x : hbyte) return boolean is
108                 variable y : boolean;
109         begin
110                 case x is
111                         when SC_KP_0 | SC_KP_1 | SC_KP_2 | SC_KP_3 |
112                                 SC_KP_4 | SC_KP_5 | SC_KP_6 | SC_KP_7 |
113                                 SC_KP_8 | SC_KP_9 | SC_KP_PLUS |
114                                 SC_KP_MINUS | SC_KP_MUL |
115                                 SC_KP_DIV | SC_SPACE |
116                                 SC_BKSP | SC_ENTER =>
117                                         y := true;
118                         when others => y := false;
119                 end case;
120                 return y;
121         end function;
122 end package body gen_pkg;