0236b72e82c40a81aaac55e6e71c42169b904ecb
[hwmod.git] / src / gen_pkg.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.math_pkg.all;
5
6 package gen_pkg is
7         subtype alu_ops is std_logic_vector(2 downto 0);
8         constant ALU_NOP : alu_ops := "000";
9         constant ALU_SUB : alu_ops := "001";
10         constant ALU_ADD : alu_ops := "010";
11         constant ALU_MUL : alu_ops := "011";
12         constant ALU_DIV : alu_ops := "100";
13         constant ALU_DONE : alu_ops := "101";
14
15         constant CBITS : integer := 32;
16         subtype csigned is signed((CBITS-1) downto 0);
17         subtype divinteger is integer range -33 to 33;
18         -- integer ist 32bit (31bit + sign)
19         subtype cinteger is integer;
20
21         -- vorerst: 1 * 71
22         constant H_RAM_SIZE : integer := 71;
23         -- danach: 50 * 71 * 2 = 7100
24         -- constant H_RAM_SIZE : integer := 7100;
25         constant H_RAM_WIDTH : integer := log2c(H_RAM_SIZE);
26         subtype hspalte is std_logic_vector(6 downto 0);
27         subtype hzeile is std_logic_vector(4 downto 0);
28         subtype hbyte is std_logic_vector(7 downto 0);
29         subtype hstring is string(1 to 72);
30         subtype hstr_int is integer range 0 to 72;
31
32         function find_msb(a : csigned) return divinteger;
33         procedure icwait(signal clk_i : IN std_logic; cycles: natural);
34
35         -- http://www.marjorie.de/ps2/scancode-set2.htm
36         constant SC_KP_0 : std_logic_vector(7 downto 0) := x"70";
37         constant SC_KP_1 : std_logic_vector(7 downto 0) := x"69";
38         constant SC_KP_2 : std_logic_vector(7 downto 0) := x"72";
39         constant SC_KP_3 : std_logic_vector(7 downto 0) := x"7a";
40         constant SC_KP_4 : std_logic_vector(7 downto 0) := x"6b";
41         constant SC_KP_5 : std_logic_vector(7 downto 0) := x"73";
42         constant SC_KP_6 : std_logic_vector(7 downto 0) := x"74";
43         constant SC_KP_7 : std_logic_vector(7 downto 0) := x"6c";
44         constant SC_KP_8 : std_logic_vector(7 downto 0) := x"75";
45         constant SC_KP_9 : std_logic_vector(7 downto 0) := x"7d";
46
47         constant SC_KP_PLUS : std_logic_vector(7 downto 0) := x"79";
48         constant SC_KP_MINUS : std_logic_vector(7 downto 0) := x"7b";
49         constant SC_KP_MUL : std_logic_vector(7 downto 0) := x"7c";
50         constant SC_KP_DIV : std_logic_vector(7 downto 0) := x"4a"; -- inkl. 0xe0!
51
52         constant SC_ENTER : std_logic_vector(7 downto 0) := x"5a";
53         constant SC_BKSP : std_logic_vector(7 downto 0) := x"66";
54         constant SC_SPACE : std_logic_vector(7 downto 0) := x"29";
55 end package gen_pkg;
56
57 package body gen_pkg is
58         function find_msb(a : csigned) return divinteger is
59                 variable r : divinteger := 0;
60         begin
61                 for i in (CBITS-1) downto 0 loop
62                         exit when a(i) = '1';
63                         r := r+1;
64                 end loop;
65                 return (CBITS - r);
66         end function find_msb;
67
68         procedure icwait(signal clk_i : IN std_logic; cycles: Natural) is
69         begin
70                 for i in 1 to cycles loop
71                         wait until clk_i= '0' and clk_i'event;
72                 end loop;
73         end;
74 end package body gen_pkg;
75