debouncing sys_res_n
[hwmod.git] / src / debouncing / sync_beh.vhd
1 library ieee;\r
2 use ieee.std_logic_1164.all;\r
3 \r
4 architecture beh of sync is\r
5   signal sync : std_logic_vector(1 to SYNC_STAGES);\r
6 begin\r
7   process(sys_clk, sys_res_n)\r
8   begin\r
9     if sys_res_n = '0' then\r
10       sync <= (others => RESET_VALUE);\r
11     elsif rising_edge(sys_clk) then\r
12       sync(1) <= data_in;\r
13       for i in 2 to SYNC_STAGES loop\r
14         sync(i) <= sync(i - 1);\r
15       end loop;\r
16     end if;\r
17   end process;\r
18   data_out <= sync(SYNC_STAGES);\r
19 end architecture beh;\r