debouncing sys_res_n
[hwmod.git] / src / debouncing / debounce_top.vhd
1 library ieee;\r
2 use ieee.std_logic_1164.all;\r
3 \r
4 entity debounce_top is\r
5   port\r
6   (\r
7     sys_clk : in std_logic;\r
8     sys_res_n : in std_logic;\r
9     btn_a : in std_logic;\r
10     seg_a : out std_logic_vector(6 downto 0);\r
11     seg_b : out std_logic_vector(6 downto 0)\r
12   );\r
13 end entity debounce_top;\r