e1bfe689fb774ca9f809b9b4495aa4b9279148c0
[hwmod.git] / src / calc_s3e.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.gen_pkg.all;
5 use work.textmode_vga_component_pkg.all;
6 use work.textmode_vga_pkg.all;
7 use work.textmode_vga_platform_dependent_pkg.all;
8 use work.ps2_keyboard_controller_pkg.all;
9 use work.sync_pkg.all;
10
11 entity calc is
12         port (
13                 CLK_50MHZ : in std_logic;
14                 sys_res : in std_logic;
15                 -- btnA (here: "btn west")
16                 btn_a : in std_logic;
17                 -- rs232
18                 rxd : in std_logic;
19                 txd : out std_logic;
20                 -- vga
21                 vsync_n : out std_logic;
22                 hsync_n : out std_logic;
23                 r : out std_logic_vector(RED_BITS - 1 downto 0);
24                 g : out std_logic_vector(GREEN_BITS - 1 downto 0);
25                 b : out std_logic_vector(BLUE_BITS - 1 downto 0);
26                 -- ps/2
27                 ps2_clk : inout std_logic;
28                 ps2_data : inout std_logic
29         );
30 end entity calc;
31
32 architecture top of calc is
33         -- reset
34         signal sys_res_n : std_logic;
35         -- ps/2
36         signal new_data : std_logic;
37         signal data : std_logic_vector(7 downto 0);
38         -- vga
39         signal vga_clk, free : std_logic;
40         -- vga/display
41         signal command : std_logic_vector(COMMAND_SIZE - 1 downto 0);
42         signal command_data : std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE -1 downto 0);
43         -- history/display
44         signal d_new_eingabe, d_new_result, d_new_bs : std_logic;
45         signal d_zeile : hzeile;
46         signal d_spalte : hspalte;
47         signal d_get, d_done : std_logic;
48         signal d_char : hbyte;
49         -- history/scanner
50         signal s_char : hbyte;
51         signal s_take, s_done, s_backspace : std_logic;
52         -- history/parser
53         signal p_rget : std_logic;
54         signal p_rdone : std_logic;
55         signal p_read : hbyte;
56         signal p_wtake : std_logic;
57         signal p_wdone : std_logic;
58         signal p_write : hbyte;
59         signal p_finished : std_logic;
60         --history/pc_com
61         signal pc_get : std_logic;
62         signal pc_spalte : hspalte;
63         signal pc_zeile : hzeile;
64         signal pc_char : hbyte;
65         signal pc_done : std_logic;
66         signal pc_busy : std_logic;
67         -- parser/scanner
68         signal do_it, finished : std_logic;
69         -- rs232
70         signal rx_new, rxd_sync : std_logic;
71         signal rx_data : std_logic_vector (7 downto 0);
72         signal tx_new, tx_done : std_logic;
73         signal tx_data : std_logic_vector (7 downto 0);
74 begin
75         sys_res_n <= not sys_res;
76
77         -- vga/ipcore
78         textmode_vga_inst : entity work.textmode_vga(struct)
79         generic map (
80                 VGA_CLK_FREQ => 25000000,
81                 BLINK_INTERVAL_MS => 500,
82                 SYNC_STAGES => 2
83         )
84         port map (
85                 sys_clk => CLK_50MHZ,
86                 sys_res_n => sys_res_n,
87                 command => command,
88                 command_data => command_data,
89                 free => free,
90                 vga_clk => vga_clk,
91                 vga_res_n => sys_res_n,
92                 vsync_n => vsync_n,
93                 hsync_n => hsync_n,
94                 r => r,
95                 g => g,
96                 b => b
97         );
98
99         -- pll fuer vga
100         clk_vga_s3e_inst : entity work.clk_vga_s3e(beh)
101         port map (
102                 clk50 => CLK_50MHZ,
103                 clk25 => vga_clk
104         );
105
106         -- display
107         display_inst : entity work.display(beh)
108         port map (
109                 sys_clk => CLK_50MHZ,
110                 sys_res_n => sys_res_n,
111                 -- history
112                 d_new_eingabe => d_new_eingabe,
113                 d_new_result => d_new_result,
114                 d_new_bs => d_new_bs,
115                 d_zeile => d_zeile,
116                 d_spalte => d_spalte,
117                 d_get => d_get,
118                 d_done => d_done,
119                 d_char => d_char,
120                 -- vga
121                 command => command,
122                 command_data => command_data,
123                 free => free
124         );
125
126         -- history
127         history_inst : entity work.history(beh)
128         port map (
129                 sys_clk => CLK_50MHZ,
130                 sys_res_n => sys_res_n,
131                 -- scanner
132                 s_char => s_char,
133                 s_take => s_take,
134                 s_done => s_done,
135                 s_backspace => s_backspace,
136                 -- display
137                 d_new_eingabe => d_new_eingabe,
138                 d_new_result => d_new_result,
139                 d_new_bs => d_new_bs,
140                 d_zeile => d_zeile,
141                 d_spalte => d_spalte,
142                 d_get => d_get,
143                 d_done => d_done,
144                 d_char => d_char,
145                 -- parser
146                 p_rget => p_rget,
147                 p_rdone => p_rdone,
148                 p_read => p_read,
149                 p_wtake => p_wtake,
150                 p_wdone => p_wdone,
151                 p_write => p_write,
152                 p_finished => p_finished,
153                 -- pc communication
154                 pc_get =>  pc_get,
155                 pc_spalte => pc_spalte,
156                 pc_zeile => pc_zeile,
157                 pc_char => pc_char,
158                 pc_busy => pc_busy,
159                 pc_done => pc_done
160         );
161
162         -- parser
163         parser_inst : entity work.parser(beh)
164         port map (
165                 sys_clk => CLK_50MHZ,
166                 sys_res_n => sys_res_n,
167                 -- history
168                 p_rget => p_rget,
169                 p_rdone => p_rdone,
170                 p_read => p_read,
171                 p_wtake => p_wtake,
172                 p_wdone => p_wdone,
173                 p_write => p_write,
174                 p_finished => p_finished,
175                 -- scanner
176                 do_it => do_it,
177                 finished => finished
178         );
179
180         -- scanner
181         scanner_inst : entity work.scanner(beh)
182         port map (
183                 sys_clk => CLK_50MHZ,
184                 sys_res_n => sys_res_n,
185                 -- ps/2
186                 new_data => new_data,
187                 data => data,
188                 -- history
189                 s_char => s_char,
190                 s_take => s_take,
191                 s_done => s_done,
192                 s_backspace => s_backspace,
193                 -- parser
194                 do_it => do_it,
195                 finished => finished
196         );
197
198         -- ps/2
199         ps2_inst : entity work.ps2_keyboard_controller(beh)
200         generic map (
201                 CLK_FREQ => 50000000,
202                 SYNC_STAGES => 2
203         )
204         port map (
205                 sys_clk => CLK_50MHZ,
206                 sys_res_n => sys_res_n,
207                 -- scanner
208                 new_data => new_data,
209                 data => data,
210                 ps2_clk => ps2_clk,
211                 ps2_data => ps2_data
212         );
213
214         -- synchronizer fuer rxd
215         sync_rxd_inst : entity work.sync(beh)
216         generic map (
217                 SYNC_STAGES => 2,
218                 RESET_VALUE => '1'
219         )
220         port map (
221                 sys_clk => CLK_50MHZ,
222                 sys_res_n => sys_res_n,
223                 data_in => rxd,
224                 data_out => rxd_sync
225         );
226
227         -- rs232-rx
228         rs232rx_inst : entity work.uart_rx(beh)
229         generic map (
230                 CLK_FREQ => 50000000,
231                 BAUDRATE => 115200
232         )
233         port map (
234                 sys_clk => CLK_50MHZ,
235                 sys_res_n => sys_res_n,
236                 rxd => rxd_sync,
237                 rx_data => rx_data,
238                 rx_new => rx_new
239         );
240
241         -- rs232-tx
242         rs232tx_inst : entity work.uart_tx(beh)
243         generic map (
244                 CLK_FREQ => 50000000,
245                 BAUDRATE => 115200
246         )
247         port map (
248                 sys_clk => CLK_50MHZ,
249                 sys_res_n => sys_res_n,
250                 txd => txd,
251                 tx_data => tx_data,
252                 tx_new => tx_new,
253                 tx_done => tx_done
254         );
255
256         -- pc-com
257         pc_com_inst : entity work.pc_communication(beh)
258         port map (
259                 sys_clk => CLK_50MHZ,
260                 sys_res_n => sys_res_n,
261                 --button
262                 btn_a => not btn_a,
263                 --uart_tx
264                 tx_data => tx_data,
265                 tx_new => tx_new,
266                 tx_done => tx_done,
267                 --uart_rx
268                 rx_data => rx_data,
269                 rx_new => rx_new,
270                 -- History
271                 pc_zeile => pc_zeile,
272                 pc_spalte => pc_spalte,
273                 pc_get => pc_get,
274                 pc_busy => pc_busy,
275                 pc_done => pc_done,
276                 pc_char => pc_char
277         );
278 end architecture top;