rs232: mapping fuer uart
[hwmod.git] / src / calc.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.gen_pkg.all;
5 use work.textmode_vga_component_pkg.all;
6 use work.textmode_vga_pkg.all;
7 use work.textmode_vga_platform_dependent_pkg.all;
8 use work.ps2_keyboard_controller_pkg.all;
9 use work.debounce_pkg.all;
10 use work.sync_pkg.all;
11
12 entity calc is
13         port (
14                 sys_clk : in std_logic;
15                 sys_res_n : in std_logic;
16                 -- btnA
17                 -- TODO: pins
18                 -- rs232
19                 rxd : in std_logic;
20                 txd : out std_logic;
21                 -- vga
22                 vsync_n : out std_logic;
23                 hsync_n : out std_logic;
24                 r : out std_logic_vector(RED_BITS - 1 downto 0);
25                 g : out std_logic_vector(GREEN_BITS - 1 downto 0);
26                 b : out std_logic_vector(BLUE_BITS - 1 downto 0);
27                 -- ps/2
28                 ps2_clk : inout std_logic;
29                 ps2_data : inout std_logic
30         );
31 end entity calc;
32
33 architecture top of calc is
34         -- ps/2
35         signal new_data : std_logic;
36         signal data : std_logic_vector(7 downto 0);
37         -- vga
38         signal vga_clk, free : std_logic;
39         -- vga/display
40         signal command : std_logic_vector(COMMAND_SIZE - 1 downto 0);
41         signal command_data : std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE -1 downto 0);
42         -- history/display
43         signal d_new_eingabe, d_new_result, d_new_bs : std_logic;
44         signal d_zeile : hzeile;
45         signal d_spalte : hspalte;
46         signal d_get, d_done : std_logic;
47         signal d_char : hbyte;
48         -- history/scanner
49         signal s_char : hbyte;
50         signal s_take, s_done, s_backspace : std_logic;
51         -- history/parser
52         signal p_rget : std_logic;
53         signal p_rdone : std_logic;
54         signal p_read : hbyte;
55         signal p_wtake : std_logic;
56         signal p_wdone : std_logic;
57         signal p_write : hbyte;
58         signal p_finished : std_logic;
59         -- parser/scanner
60         signal do_it, finished : std_logic;
61         -- debouncing
62         signal sys_res_n_sync : std_logic;
63         -- rs232
64         signal rx_new, rxd_sync : std_logic;
65         signal rx_data : std_logic_vector (7 downto 0);
66         signal tx_new, tx_done : std_logic;
67         signal tx_data : std_logic_vector (7 downto 0);
68         signal txd_out : std_logic;
69 begin
70         -- vga/ipcore
71         textmode_vga_inst : entity work.textmode_vga(struct)
72         generic map (
73                 VGA_CLK_FREQ => 25000000,
74                 BLINK_INTERVAL_MS => 500,
75                 SYNC_STAGES => 2
76         )
77         port map (
78                 sys_clk => sys_clk,
79                 sys_res_n => sys_res_n_sync,
80                 command => command,
81                 command_data => command_data,
82                 free => free,
83                 vga_clk => vga_clk,
84                 vga_res_n => sys_res_n_sync,
85                 vsync_n => vsync_n,
86                 hsync_n => hsync_n,
87                 r => r,
88                 g => g,
89                 b => b
90         );
91
92         -- pll fuer vga
93         vpll_inst : entity work.vpll(syn)
94         port map (
95                 inclk0 => sys_clk,
96                 c0 => vga_clk
97         );
98
99         -- display
100         display_inst : entity work.display(beh)
101         port map (
102                 sys_clk => sys_clk,
103                 sys_res_n => sys_res_n_sync,
104                 -- history
105                 d_new_eingabe => d_new_eingabe,
106                 d_new_result => d_new_result,
107                 d_new_bs => d_new_bs,
108                 d_zeile => d_zeile,
109                 d_spalte => d_spalte,
110                 d_get => d_get,
111                 d_done => d_done,
112                 d_char => d_char,
113                 -- vga
114                 command => command,
115                 command_data => command_data,
116                 free => free
117         );
118
119         -- history
120         history_inst : entity work.history(beh)
121         port map (
122                 sys_clk => sys_clk,
123                 sys_res_n => sys_res_n_sync,
124                 -- scanner
125                 s_char => s_char,
126                 s_take => s_take,
127                 s_done => s_done,
128                 s_backspace => s_backspace,
129                 -- display
130                 d_new_eingabe => d_new_eingabe,
131                 d_new_result => d_new_result,
132                 d_new_bs => d_new_bs,
133                 d_zeile => d_zeile,
134                 d_spalte => d_spalte,
135                 d_get => d_get,
136                 d_done => d_done,
137                 d_char => d_char,
138                 -- parser
139                 p_rget => p_rget,
140                 p_rdone => p_rdone,
141                 p_read => p_read,
142                 p_wtake => p_wtake,
143                 p_wdone => p_wdone,
144                 p_write => p_write,
145                 p_finished => p_finished
146         );
147
148         -- parser
149         parser_inst : entity work.parser(beh)
150         port map (
151                 sys_clk => sys_clk,
152                 sys_res_n => sys_res_n_sync,
153                 -- history
154                 p_rget => p_rget,
155                 p_rdone => p_rdone,
156                 p_read => p_read,
157                 p_wtake => p_wtake,
158                 p_wdone => p_wdone,
159                 p_write => p_write,
160                 p_finished => p_finished,
161                 -- scanner
162                 do_it => do_it,
163                 finished => finished
164         );
165
166         -- scanner
167         scanner_inst : entity work.scanner(beh)
168         port map (
169                 sys_clk => sys_clk,
170                 sys_res_n => sys_res_n_sync,
171                 -- ps/2
172                 new_data => new_data,
173                 data => data,
174                 -- history
175                 s_char => s_char,
176                 s_take => s_take,
177                 s_done => s_done,
178                 s_backspace => s_backspace,
179                 -- parser
180                 do_it => do_it,
181                 finished => finished
182         );
183
184         -- ps/2
185         ps2_inst : entity work.ps2_keyboard_controller(beh)
186         generic map (
187                 CLK_FREQ => 33330000,
188                 SYNC_STAGES => 2
189         )
190         port map (
191                 sys_clk => sys_clk,
192                 sys_res_n => sys_res_n_sync,
193                 -- scanner
194                 new_data => new_data,
195                 data => data,
196                 ps2_clk => ps2_clk,
197                 ps2_data => ps2_data
198         );
199
200         -- debouncer fuer sys_res_n
201         sys_res_n_debounce_inst : debounce
202         generic map (
203                 CLK_FREQ => 33330000,
204                 TIMEOUT => 1 ms,
205                 RESET_VALUE => '1',
206                 SYNC_STAGES => 2
207         )
208         port map (
209                 sys_clk => sys_clk,
210                 sys_res_n => '1',
211                 data_in => sys_res_n,
212                 data_out => sys_res_n_sync
213         );
214
215         -- synchronizer fuer rxd
216         sync_rxd_inst : entity work.sync(beh)
217         generic map (
218                 SYNC_STAGES => 2,
219                 RESET_VALUE => '1'
220         )
221         port map (
222                 sys_clk => sys_clk,
223                 sys_res_n => sys_res_n_sync,
224                 data_in => rxd,
225                 data_out => rxd_sync
226         );
227
228         -- rs232-rx
229         rs232rx_inst : entity work.uart_rx(beh)
230         generic map (
231                 CLK_FREQ => 33330000,
232                 BAUDRATE => 115200
233         )
234         port map (
235                 sys_clk => sys_clk,
236                 sys_res_n => sys_res_n_sync,
237                 rxd => rxd_sync,
238                 rx_data => rx_data,
239                 rx_new => rx_new
240         );
241
242         -- rs232-tx
243         rs232tx_inst : entity work.uart_tx(beh)
244         generic map (
245                 CLK_FREQ => 33330000,
246                 BAUDRATE => 115200
247         )
248         port map (
249                 sys_clk => sys_clk,
250                 sys_res_n => sys_res_n,
251                 txd => txd_out,
252                 tx_data => tx_data,
253                 tx_new => tx_new,
254                 tx_done => tx_done
255         );
256 end architecture top;
257