pc_com: p_busy ist unnoetig und noch ein paar kleinere fehler ausgebessert
[hwmod.git] / src / calc.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.gen_pkg.all;
5 use work.textmode_vga_component_pkg.all;
6 use work.textmode_vga_pkg.all;
7 use work.textmode_vga_platform_dependent_pkg.all;
8 use work.ps2_keyboard_controller_pkg.all;
9 use work.debounce_pkg.all;
10 use work.sync_pkg.all;
11
12 entity calc is
13         port (
14                 sys_clk : in std_logic;
15                 sys_res_n : in std_logic;
16                 -- btnA
17                 btn_a : in std_logic;
18                 -- rs232
19                 rxd : in std_logic;
20                 txd : out std_logic;
21                 -- vga
22                 vsync_n : out std_logic;
23                 hsync_n : out std_logic;
24                 r : out std_logic_vector(RED_BITS - 1 downto 0);
25                 g : out std_logic_vector(GREEN_BITS - 1 downto 0);
26                 b : out std_logic_vector(BLUE_BITS - 1 downto 0);
27                 -- ps/2
28                 ps2_clk : inout std_logic;
29                 ps2_data : inout std_logic
30         );
31 end entity calc;
32
33 architecture top of calc is
34         -- ps/2
35         signal new_data : std_logic;
36         signal data : std_logic_vector(7 downto 0);
37         -- vga
38         signal vga_clk, free : std_logic;
39         -- vga/display
40         signal command : std_logic_vector(COMMAND_SIZE - 1 downto 0);
41         signal command_data : std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE -1 downto 0);
42         -- history/display
43         signal d_new_eingabe, d_new_result, d_new_bs : std_logic;
44         signal d_zeile : hzeile;
45         signal d_spalte : hspalte;
46         signal d_get, d_done : std_logic;
47         signal d_char : hbyte;
48         -- history/scanner
49         signal s_char : hbyte;
50         signal s_take, s_done, s_backspace : std_logic;
51         -- history/parser
52         signal p_rget : std_logic;
53         signal p_rdone : std_logic;
54         signal p_read : hbyte;
55         signal p_wtake : std_logic;
56         signal p_wdone : std_logic;
57         signal p_write : hbyte;
58         signal p_finished : std_logic;
59         --history/pc_com
60         signal pc_get : std_logic;
61         signal pc_spalte : hspalte;
62         signal pc_zeile : hzeile;
63         signal pc_char : hbyte;
64         signal pc_done : std_logic;
65         -- parser/scanner
66         signal do_it, finished : std_logic;
67         -- debouncing
68         signal sys_res_n_sync : std_logic;
69         signal btn_a_sync : std_logic;
70         -- rs232
71         signal rx_new, rxd_sync : std_logic;
72         signal rx_data : std_logic_vector (7 downto 0);
73         signal tx_new, tx_done : std_logic;
74         signal tx_data : std_logic_vector (7 downto 0);
75 begin
76         -- vga/ipcore
77         textmode_vga_inst : entity work.textmode_vga(struct)
78         generic map (
79                 VGA_CLK_FREQ => 25000000,
80                 BLINK_INTERVAL_MS => 500,
81                 SYNC_STAGES => 2
82         )
83         port map (
84                 sys_clk => sys_clk,
85                 sys_res_n => sys_res_n_sync,
86                 command => command,
87                 command_data => command_data,
88                 free => free,
89                 vga_clk => vga_clk,
90                 vga_res_n => sys_res_n_sync,
91                 vsync_n => vsync_n,
92                 hsync_n => hsync_n,
93                 r => r,
94                 g => g,
95                 b => b
96         );
97
98         -- pll fuer vga
99         vpll_inst : entity work.vpll(syn)
100         port map (
101                 inclk0 => sys_clk,
102                 c0 => vga_clk
103         );
104
105         -- display
106         display_inst : entity work.display(beh)
107         port map (
108                 sys_clk => sys_clk,
109                 sys_res_n => sys_res_n_sync,
110                 -- history
111                 d_new_eingabe => d_new_eingabe,
112                 d_new_result => d_new_result,
113                 d_new_bs => d_new_bs,
114                 d_zeile => d_zeile,
115                 d_spalte => d_spalte,
116                 d_get => d_get,
117                 d_done => d_done,
118                 d_char => d_char,
119                 -- vga
120                 command => command,
121                 command_data => command_data,
122                 free => free
123         );
124
125         -- history
126         history_inst : entity work.history(beh)
127         port map (
128                 sys_clk => sys_clk,
129                 sys_res_n => sys_res_n_sync,
130                 -- scanner
131                 s_char => s_char,
132                 s_take => s_take,
133                 s_done => s_done,
134                 s_backspace => s_backspace,
135                 -- display
136                 d_new_eingabe => d_new_eingabe,
137                 d_new_result => d_new_result,
138                 d_new_bs => d_new_bs,
139                 d_zeile => d_zeile,
140                 d_spalte => d_spalte,
141                 d_get => d_get,
142                 d_done => d_done,
143                 d_char => d_char,
144                 -- parser
145                 p_rget => p_rget,
146                 p_rdone => p_rdone,
147                 p_read => p_read,
148                 p_wtake => p_wtake,
149                 p_wdone => p_wdone,
150                 p_write => p_write,
151                 p_finished => p_finished,
152                 -- pc communication
153                 pc_get =>  pc_get,
154                 pc_spalte => pc_spalte,
155                 pc_zeile => pc_zeile,
156                 pc_char => pc_char,
157                 pc_done => pc_done
158         );
159
160         -- parser
161         parser_inst : entity work.parser(beh)
162         port map (
163                 sys_clk => sys_clk,
164                 sys_res_n => sys_res_n_sync,
165                 -- history
166                 p_rget => p_rget,
167                 p_rdone => p_rdone,
168                 p_read => p_read,
169                 p_wtake => p_wtake,
170                 p_wdone => p_wdone,
171                 p_write => p_write,
172                 p_finished => p_finished,
173                 -- scanner
174                 do_it => do_it,
175                 finished => finished
176         );
177
178         -- scanner
179         scanner_inst : entity work.scanner(beh)
180         port map (
181                 sys_clk => sys_clk,
182                 sys_res_n => sys_res_n_sync,
183                 -- ps/2
184                 new_data => new_data,
185                 data => data,
186                 -- history
187                 s_char => s_char,
188                 s_take => s_take,
189                 s_done => s_done,
190                 s_backspace => s_backspace,
191                 -- parser
192                 do_it => do_it,
193                 finished => finished
194         );
195
196         -- ps/2
197         ps2_inst : entity work.ps2_keyboard_controller(beh)
198         generic map (
199                 CLK_FREQ => 33330000,
200                 SYNC_STAGES => 2
201         )
202         port map (
203                 sys_clk => sys_clk,
204                 sys_res_n => sys_res_n_sync,
205                 -- scanner
206                 new_data => new_data,
207                 data => data,
208                 ps2_clk => ps2_clk,
209                 ps2_data => ps2_data
210         );
211
212         -- debouncer fuer sys_res_n
213         sys_res_n_debounce_inst : debounce
214         generic map (
215                 CLK_FREQ => 33330000,
216                 TIMEOUT => 1 ms,
217                 RESET_VALUE => '1',
218                 SYNC_STAGES => 2
219         )
220         port map (
221                 sys_clk => sys_clk,
222                 sys_res_n => '1',
223                 data_in => sys_res_n,
224                 data_out => sys_res_n_sync
225         );
226
227         -- synchronizer fuer rxd
228         sync_rxd_inst : entity work.sync(beh)
229         generic map (
230                 SYNC_STAGES => 2,
231                 RESET_VALUE => '1'
232         )
233         port map (
234                 sys_clk => sys_clk,
235                 sys_res_n => sys_res_n_sync,
236                 data_in => rxd,
237                 data_out => rxd_sync
238         );
239
240         -- debouncer fuer btn_a
241         btn_a_debounce_inst : debounce
242         generic map (
243                 CLK_FREQ => 33330000,
244                 TIMEOUT => 1 ms,
245                 RESET_VALUE => '1',
246                 SYNC_STAGES => 2
247         )
248         port map (
249                 sys_clk => sys_clk,
250                 sys_res_n => sys_res_n_sync,
251                 data_in => btn_a,
252                 data_out => btn_a_sync
253         );
254
255         -- rs232-rx
256         rs232rx_inst : entity work.uart_rx(beh)
257         generic map (
258                 CLK_FREQ => 33330000,
259                 BAUDRATE => 115200
260         )
261         port map (
262                 sys_clk => sys_clk,
263                 sys_res_n => sys_res_n_sync,
264                 rxd => rxd_sync,
265                 rx_data => rx_data,
266                 rx_new => rx_new
267         );
268
269         -- rs232-tx
270         rs232tx_inst : entity work.uart_tx(beh)
271         generic map (
272                 CLK_FREQ => 33330000,
273                 BAUDRATE => 115200
274         )
275         port map (
276                 sys_clk => sys_clk,
277                 sys_res_n => sys_res_n,
278                 txd => txd,
279                 tx_data => tx_data,
280                 tx_new => tx_new,
281                 tx_done => tx_done
282         );
283
284         pc_com_inst : entity work.pc_communication(beh)
285         port map (
286                 sys_clk => sys_clk,
287                 sys_res_n => sys_res_n,
288                 --button
289                 btn_a => btn_a_sync,
290                 --uart_tx
291                 tx_data => tx_data,
292                 tx_new => tx_new,
293                 tx_done => tx_done,
294                 --uart_rx
295                 rx_data => rx_data,
296                 rx_new => rx_new,
297                 -- History
298                 pc_zeile => pc_zeile,
299                 pc_spalte => pc_spalte,
300                 pc_get => pc_get,
301                 pc_done => pc_done,
302                 pc_char => pc_char
303         );
304
305 end architecture top;
306