5f9b832a419f593ead9b7224b3603f6510642426
[hwmod.git] / src / calc.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.gen_pkg.all;
5 use work.textmode_vga_component_pkg.all;
6 use work.textmode_vga_pkg.all;
7 use work.textmode_vga_platform_dependent_pkg.all;
8 use work.ps2_keyboard_controller_pkg.all;
9 use work.debounce_pkg.all;
10 use work.sync_pkg.all;
11
12 entity calc is
13         port (
14                 sys_clk : in std_logic;
15                 sys_res_n : in std_logic;
16                 -- btnA
17                 btn_a : in std_logic;
18                 -- rs232
19                 rxd : in std_logic;
20                 txd : out std_logic;
21                 -- vga
22                 vsync_n : out std_logic;
23                 hsync_n : out std_logic;
24                 r : out std_logic_vector(RED_BITS - 1 downto 0);
25                 g : out std_logic_vector(GREEN_BITS - 1 downto 0);
26                 b : out std_logic_vector(BLUE_BITS - 1 downto 0);
27                 -- ps/2
28                 ps2_clk : inout std_logic;
29                 ps2_data : inout std_logic
30         );
31 end entity calc;
32
33 architecture top of calc is
34         -- ps/2
35         signal new_data : std_logic;
36         signal data : std_logic_vector(7 downto 0);
37         -- vga
38         signal vga_clk, free : std_logic;
39         -- vga/display
40         signal command : std_logic_vector(COMMAND_SIZE - 1 downto 0);
41         signal command_data : std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE -1 downto 0);
42         -- history/display
43         signal d_new_eingabe, d_new_result, d_new_bs : std_logic;
44         signal d_zeile : hzeile;
45         signal d_spalte : hspalte;
46         signal d_get, d_done : std_logic;
47         signal d_char : hbyte;
48         -- history/scanner
49         signal s_char : hbyte;
50         signal s_take, s_done, s_backspace : std_logic;
51         -- history/parser
52         signal p_rget : std_logic;
53         signal p_rdone : std_logic;
54         signal p_read : hbyte;
55         signal p_wtake : std_logic;
56         signal p_wdone : std_logic;
57         signal p_write : hbyte;
58         signal p_finished : std_logic;
59         --history/pc_com
60         signal pc_get : std_logic;
61         signal pc_spalte : hspalte;
62         signal pc_zeile : hzeile;
63         signal pc_char : hbyte;
64         signal pc_done : std_logic;
65         signal pc_busy : std_logic;
66         -- parser/scanner
67         signal do_it, finished : std_logic;
68         -- debouncing
69         signal sys_res_n_sync : std_logic;
70         signal btn_a_sync : std_logic;
71         -- rs232
72         signal rx_new, rxd_sync : std_logic;
73         signal rx_data : std_logic_vector (7 downto 0);
74         signal tx_new, tx_done : std_logic;
75         signal tx_data : std_logic_vector (7 downto 0);
76 begin
77         -- vga/ipcore
78         textmode_vga_inst : entity work.textmode_vga(struct)
79         generic map (
80                 VGA_CLK_FREQ => 25000000,
81                 BLINK_INTERVAL_MS => 500,
82                 SYNC_STAGES => 2
83         )
84         port map (
85                 sys_clk => sys_clk,
86                 sys_res_n => sys_res_n_sync,
87                 command => command,
88                 command_data => command_data,
89                 free => free,
90                 vga_clk => vga_clk,
91                 vga_res_n => sys_res_n_sync,
92                 vsync_n => vsync_n,
93                 hsync_n => hsync_n,
94                 r => r,
95                 g => g,
96                 b => b
97         );
98
99         -- pll fuer vga
100         vpll_inst : entity work.vpll(syn)
101         port map (
102                 inclk0 => sys_clk,
103                 c0 => vga_clk
104         );
105
106         -- display
107         display_inst : entity work.display(beh)
108         port map (
109                 sys_clk => sys_clk,
110                 sys_res_n => sys_res_n_sync,
111                 -- history
112                 d_new_eingabe => d_new_eingabe,
113                 d_new_result => d_new_result,
114                 d_new_bs => d_new_bs,
115                 d_zeile => d_zeile,
116                 d_spalte => d_spalte,
117                 d_get => d_get,
118                 d_done => d_done,
119                 d_char => d_char,
120                 -- vga
121                 command => command,
122                 command_data => command_data,
123                 free => free
124         );
125
126         -- history
127         history_inst : entity work.history(beh)
128         port map (
129                 sys_clk => sys_clk,
130                 sys_res_n => sys_res_n_sync,
131                 -- scanner
132                 s_char => s_char,
133                 s_take => s_take,
134                 s_done => s_done,
135                 s_backspace => s_backspace,
136                 -- display
137                 d_new_eingabe => d_new_eingabe,
138                 d_new_result => d_new_result,
139                 d_new_bs => d_new_bs,
140                 d_zeile => d_zeile,
141                 d_spalte => d_spalte,
142                 d_get => d_get,
143                 d_done => d_done,
144                 d_char => d_char,
145                 -- parser
146                 p_rget => p_rget,
147                 p_rdone => p_rdone,
148                 p_read => p_read,
149                 p_wtake => p_wtake,
150                 p_wdone => p_wdone,
151                 p_write => p_write,
152                 p_finished => p_finished,
153                 -- pc communication
154                 pc_get =>  pc_get,
155                 pc_spalte => pc_spalte,
156                 pc_zeile => pc_zeile,
157                 pc_char => pc_char,
158                 pc_busy => pc_busy,
159                 pc_done => pc_done
160         );
161
162         -- parser
163         parser_inst : entity work.parser(beh)
164         port map (
165                 sys_clk => sys_clk,
166                 sys_res_n => sys_res_n_sync,
167                 -- history
168                 p_rget => p_rget,
169                 p_rdone => p_rdone,
170                 p_read => p_read,
171                 p_wtake => p_wtake,
172                 p_wdone => p_wdone,
173                 p_write => p_write,
174                 p_finished => p_finished,
175                 -- scanner
176                 do_it => do_it,
177                 finished => finished
178         );
179
180         -- scanner
181         scanner_inst : entity work.scanner(beh)
182         port map (
183                 sys_clk => sys_clk,
184                 sys_res_n => sys_res_n_sync,
185                 -- ps/2
186                 new_data => new_data,
187                 data => data,
188                 -- history
189                 s_char => s_char,
190                 s_take => s_take,
191                 s_done => s_done,
192                 s_backspace => s_backspace,
193                 -- parser
194                 do_it => do_it,
195                 finished => finished
196         );
197
198         -- ps/2
199         ps2_inst : entity work.ps2_keyboard_controller(beh)
200         generic map (
201                 CLK_FREQ => 33330000,
202                 SYNC_STAGES => 2
203         )
204         port map (
205                 sys_clk => sys_clk,
206                 sys_res_n => sys_res_n_sync,
207                 -- scanner
208                 new_data => new_data,
209                 data => data,
210                 ps2_clk => ps2_clk,
211                 ps2_data => ps2_data
212         );
213
214         -- debouncer fuer sys_res_n
215         sys_res_n_debounce_inst : debounce
216         generic map (
217                 CLK_FREQ => 33330000,
218                 TIMEOUT => 1 ms,
219                 RESET_VALUE => '1',
220                 SYNC_STAGES => 2
221         )
222         port map (
223                 sys_clk => sys_clk,
224                 sys_res_n => '1',
225                 data_in => sys_res_n,
226                 data_out => sys_res_n_sync
227         );
228
229         -- synchronizer fuer rxd
230         sync_rxd_inst : entity work.sync(beh)
231         generic map (
232                 SYNC_STAGES => 2,
233                 RESET_VALUE => '1'
234         )
235         port map (
236                 sys_clk => sys_clk,
237                 sys_res_n => sys_res_n_sync,
238                 data_in => rxd,
239                 data_out => rxd_sync
240         );
241
242         -- debouncer fuer btn_a
243         btn_a_debounce_inst : debounce
244         generic map (
245                 CLK_FREQ => 33330000,
246                 TIMEOUT => 1 ms,
247                 RESET_VALUE => '1',
248                 SYNC_STAGES => 2
249         )
250         port map (
251                 sys_clk => sys_clk,
252                 sys_res_n => sys_res_n_sync,
253                 data_in => btn_a,
254                 data_out => btn_a_sync
255         );
256
257         -- rs232-rx
258         rs232rx_inst : entity work.uart_rx(beh)
259         generic map (
260                 CLK_FREQ => 33330000,
261                 BAUDRATE => 115200
262         )
263         port map (
264                 sys_clk => sys_clk,
265                 sys_res_n => sys_res_n_sync,
266                 rxd => rxd_sync,
267                 rx_data => rx_data,
268                 rx_new => rx_new
269         );
270
271         -- rs232-tx
272         rs232tx_inst : entity work.uart_tx(beh)
273         generic map (
274                 CLK_FREQ => 33330000,
275                 BAUDRATE => 115200
276         )
277         port map (
278                 sys_clk => sys_clk,
279                 sys_res_n => sys_res_n,
280                 txd => txd,
281                 tx_data => tx_data,
282                 tx_new => tx_new,
283                 tx_done => tx_done
284         );
285
286         pc_com_inst : entity work.pc_communication(beh)
287         port map (
288                 sys_clk => sys_clk,
289                 sys_res_n => sys_res_n,
290                 --button
291                 btn_a => btn_a_sync,
292                 --uart_tx
293                 tx_data => tx_data,
294                 tx_new => tx_new,
295                 tx_done => tx_done,
296                 --uart_rx
297                 rx_data => rx_data,
298                 rx_new => rx_new,
299                 -- History
300                 pc_zeile => pc_zeile,
301                 pc_spalte => pc_spalte,
302                 pc_get => pc_get,
303                 pc_busy => pc_busy,
304                 pc_done => pc_done,
305                 pc_char => pc_char
306         );
307
308 end architecture top;
309