420e2734e01b700bfa5847f51fca5d90129ffbf2
[hwmod.git] / src / calc.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.gen_pkg.all;
5 use work.textmode_vga_component_pkg.all;
6 use work.textmode_vga_pkg.all;
7 use work.textmode_vga_platform_dependent_pkg.all;
8 use work.ps2_keyboard_controller_pkg.all;
9 use work.debounce_pkg.all;
10 use work.sync_pkg.all;
11
12 entity calc is
13         port (
14                 sys_clk : in std_logic;
15                 sys_res_n : in std_logic;
16                 -- btnA
17                 btn_a : in std_logic;
18                 -- rs232
19                 rxd : in std_logic;
20                 txd : out std_logic;
21                 -- vga
22                 vsync_n : out std_logic;
23                 hsync_n : out std_logic;
24                 r : out std_logic_vector(RED_BITS - 1 downto 0);
25                 g : out std_logic_vector(GREEN_BITS - 1 downto 0);
26                 b : out std_logic_vector(BLUE_BITS - 1 downto 0);
27                 -- ps/2
28                 ps2_clk : inout std_logic;
29                 ps2_data : inout std_logic
30         );
31 end entity calc;
32
33 architecture top of calc is
34         -- ps/2
35         signal new_data : std_logic;
36         signal data : std_logic_vector(7 downto 0);
37         -- vga
38         signal vga_clk, free : std_logic;
39         -- vga/display
40         signal command : std_logic_vector(COMMAND_SIZE - 1 downto 0);
41         signal command_data : std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE -1 downto 0);
42         -- history/display
43         signal d_new_eingabe, d_new_result, d_new_bs : std_logic;
44         signal d_zeile : hzeile;
45         signal d_spalte : hspalte;
46         signal d_get, d_done : std_logic;
47         signal d_char : hbyte;
48         -- history/scanner
49         signal s_char : hbyte;
50         signal s_take, s_done, s_backspace : std_logic;
51         -- history/parser
52         signal p_rget : std_logic;
53         signal p_rdone : std_logic;
54         signal p_read : hbyte;
55         signal p_wtake : std_logic;
56         signal p_wdone : std_logic;
57         signal p_write : hbyte;
58         signal p_finished : std_logic;
59         --history/pc_com
60         signal pc_get : std_logic;
61         signal pc_spalte : hspalte;
62         signal pc_zeile : hzeile;
63         signal pc_char : hbyte;
64         signal pc_done : std_logic;
65         -- parser/scanner
66         signal do_it, finished : std_logic;
67         -- debouncing
68         signal sys_res_n_sync : std_logic;
69         -- rs232
70         signal rx_new, rxd_sync : std_logic;
71         signal rx_data : std_logic_vector (7 downto 0);
72         signal tx_new, tx_done : std_logic;
73         signal tx_data : std_logic_vector (7 downto 0);
74
75         signal btn_a_sync : std_logic;
76
77 begin
78         -- vga/ipcore
79         textmode_vga_inst : entity work.textmode_vga(struct)
80         generic map (
81                 VGA_CLK_FREQ => 25000000,
82                 BLINK_INTERVAL_MS => 500,
83                 SYNC_STAGES => 2
84         )
85         port map (
86                 sys_clk => sys_clk,
87                 sys_res_n => sys_res_n_sync,
88                 command => command,
89                 command_data => command_data,
90                 free => free,
91                 vga_clk => vga_clk,
92                 vga_res_n => sys_res_n_sync,
93                 vsync_n => vsync_n,
94                 hsync_n => hsync_n,
95                 r => r,
96                 g => g,
97                 b => b
98         );
99
100         -- pll fuer vga
101         vpll_inst : entity work.vpll(syn)
102         port map (
103                 inclk0 => sys_clk,
104                 c0 => vga_clk
105         );
106
107         -- display
108         display_inst : entity work.display(beh)
109         port map (
110                 sys_clk => sys_clk,
111                 sys_res_n => sys_res_n_sync,
112                 -- history
113                 d_new_eingabe => d_new_eingabe,
114                 d_new_result => d_new_result,
115                 d_new_bs => d_new_bs,
116                 d_zeile => d_zeile,
117                 d_spalte => d_spalte,
118                 d_get => d_get,
119                 d_done => d_done,
120                 d_char => d_char,
121                 -- vga
122                 command => command,
123                 command_data => command_data,
124                 free => free
125         );
126
127         -- history
128         history_inst : entity work.history(beh)
129         port map (
130                 sys_clk => sys_clk,
131                 sys_res_n => sys_res_n_sync,
132                 -- scanner
133                 s_char => s_char,
134                 s_take => s_take,
135                 s_done => s_done,
136                 s_backspace => s_backspace,
137                 -- display
138                 d_new_eingabe => d_new_eingabe,
139                 d_new_result => d_new_result,
140                 d_new_bs => d_new_bs,
141                 d_zeile => d_zeile,
142                 d_spalte => d_spalte,
143                 d_get => d_get,
144                 d_done => d_done,
145                 d_char => d_char,
146                 -- parser
147                 p_rget => p_rget,
148                 p_rdone => p_rdone,
149                 p_read => p_read,
150                 p_wtake => p_wtake,
151                 p_wdone => p_wdone,
152                 p_write => p_write,
153                 p_finished => p_finished,
154                 -- pc communication
155                 pc_get =>  pc_get,
156                 pc_spalte => pc_spalte,
157                 pc_zeile => pc_zeile,
158                 pc_char => pc_char,
159                 pc_done => pc_done
160
161         );
162
163         -- parser
164         parser_inst : entity work.parser(beh)
165         port map (
166                 sys_clk => sys_clk,
167                 sys_res_n => sys_res_n_sync,
168                 -- history
169                 p_rget => p_rget,
170                 p_rdone => p_rdone,
171                 p_read => p_read,
172                 p_wtake => p_wtake,
173                 p_wdone => p_wdone,
174                 p_write => p_write,
175                 p_finished => p_finished,
176                 -- scanner
177                 do_it => do_it,
178                 finished => finished
179         );
180
181         -- scanner
182         scanner_inst : entity work.scanner(beh)
183         port map (
184                 sys_clk => sys_clk,
185                 sys_res_n => sys_res_n_sync,
186                 -- ps/2
187                 new_data => new_data,
188                 data => data,
189                 -- history
190                 s_char => s_char,
191                 s_take => s_take,
192                 s_done => s_done,
193                 s_backspace => s_backspace,
194                 -- parser
195                 do_it => do_it,
196                 finished => finished
197         );
198
199         -- ps/2
200         ps2_inst : entity work.ps2_keyboard_controller(beh)
201         generic map (
202                 CLK_FREQ => 33330000,
203                 SYNC_STAGES => 2
204         )
205         port map (
206                 sys_clk => sys_clk,
207                 sys_res_n => sys_res_n_sync,
208                 -- scanner
209                 new_data => new_data,
210                 data => data,
211                 ps2_clk => ps2_clk,
212                 ps2_data => ps2_data
213         );
214
215         -- debouncer fuer sys_res_n
216         sys_res_n_debounce_inst : debounce
217         generic map (
218                 CLK_FREQ => 33330000,
219                 TIMEOUT => 1 ms,
220                 RESET_VALUE => '1',
221                 SYNC_STAGES => 2
222         )
223         port map (
224                 sys_clk => sys_clk,
225                 sys_res_n => '1',
226                 data_in => sys_res_n,
227                 data_out => sys_res_n_sync
228         );
229
230         -- synchronizer fuer rxd
231         sync_rxd_inst : entity work.sync(beh)
232         generic map (
233                 SYNC_STAGES => 2,
234                 RESET_VALUE => '1'
235         )
236         port map (
237                 sys_clk => sys_clk,
238                 sys_res_n => sys_res_n_sync,
239                 data_in => rxd,
240                 data_out => rxd_sync
241         );
242
243         -- debouncer fuer btn_a
244         btn_a_debounce_inst : debounce
245         generic map (
246                 CLK_FREQ => 33330000,
247                 TIMEOUT => 1 ms,
248                 RESET_VALUE => '1',
249                 SYNC_STAGES => 2
250         )
251         port map (
252                 sys_clk => sys_clk,
253                 sys_res_n => '1',
254                 data_in => btn_a,
255                 data_out => btn_a_sync
256         );
257
258         -- rs232-rx
259         rs232rx_inst : entity work.uart_rx(beh)
260         generic map (
261                 CLK_FREQ => 33330000,
262                 BAUDRATE => 115200
263         )
264         port map (
265                 sys_clk => sys_clk,
266                 sys_res_n => sys_res_n_sync,
267                 rxd => rxd_sync,
268                 rx_data => rx_data,
269                 rx_new => rx_new
270         );
271
272         -- rs232-tx
273         rs232tx_inst : entity work.uart_tx(beh)
274         generic map (
275                 CLK_FREQ => 33330000,
276                 BAUDRATE => 115200
277         )
278         port map (
279                 sys_clk => sys_clk,
280                 sys_res_n => sys_res_n,
281                 txd => txd,
282                 tx_data => tx_data,
283                 tx_new => tx_new,
284                 tx_done => tx_done
285         );
286
287         pc_com_inst : entity work.pc_communication(beh)
288         port map (
289                 sys_clk => sys_clk,
290                 sys_res_n => sys_res_n,
291                 --button
292                 btn_a => btn_a_sync,
293                 --uart_tx
294                 tx_data => tx_data,
295                 tx_new => tx_new,
296                 tx_done => tx_done,
297                 --uart_rx
298                 rx_data => rx_data,
299                 rx_new => rx_new,
300                 -- History
301                 d_zeile => pc_zeile,
302                 d_spalte => pc_spalte,
303                 d_get => pc_get,
304                 d_done => pc_done,
305                 d_char => pc_char
306         );
307
308 end architecture top;
309