2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
9 architecture sim of alu_tb is
13 sys_clk : in std_logic;
14 sys_res_n : in std_logic;
19 do_calc : in std_logic;
21 calc_done : out std_logic
25 signal sys_clk, sys_res_n, do_calc, calc_done, ack : std_logic;
26 signal opcode : alu_ops;
27 signal op1, op2, op3, optmp : csigned;
28 signal stop : boolean := false;
34 sys_res_n => sys_res_n,
37 calc_done => calc_done,
62 op1(31 downto 0) <= (0 => '1', 1 => '1', 2 => '1', others => '0');
63 op2(31 downto 0) <= (0 => '1', 2 => '1', others => '0');
67 -- berechnung kann los gehen
71 -- warten auf die alu einheit