33f4455ca6e38db881f4cc6759be877bf9ded21c
[hwmod.git] / src / alu_tb.vhd
1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
4 use work.gen_pkg.all;
5
6 entity alu_tb is
7 end entity alu_tb;
8
9 architecture sim of alu_tb is
10         component alu is
11                 port
12                 (
13                         sys_clk : in std_logic;
14                         sys_res_n : in std_logic;
15                         opcode : in alu_ops;
16                         op1 : in csigned;
17                         op2 : in csigned;
18                         op3 : out csigned;
19                         do_calc : in std_logic;
20                         calc_done : out std_logic
21                 );
22         end component alu;
23
24         signal sys_clk, sys_res_n, do_calc, calc_done : std_logic;
25         signal opcode : alu_ops;
26         signal op1, op2, op3 : csigned;
27         signal stop : boolean := false;
28 begin
29         bla : alu
30         port map
31         (
32                 sys_clk => sys_clk,
33                 sys_res_n => sys_res_n,
34                 do_calc => do_calc,
35                 calc_done => calc_done,
36                 op1 => op1,
37                 op2 => op2,
38                 op3 => op3,
39                 opcode => opcode
40         );
41
42         process
43         begin
44                 sys_clk <= '0';
45                 wait for 15 ns;
46                 sys_clk <= '1';
47                 wait for 15 ns;
48                 if stop = true then
49                         wait;
50                 end if;
51         end process;
52
53         process
54                 type alu_testv is record
55                         o1 : cinteger;
56                         o : alu_ops;
57                         o2 : cinteger;
58                         expected : cinteger;
59                 end record alu_testv;
60
61                 -- ggf. groesse des arrays erhoehen
62                 type alu_testv_array is array (natural range 0 to 20) of alu_testv;
63
64                 variable testmatrix : alu_testv_array :=
65                         ( 0 => (7, ADD, 3, 10),
66                           1 => (7, SUB, 1, 6),
67                           2 => (7, DIV, 1, 7),
68                           3 => (7, DIV, 2, 3),
69                           4 => (7, ADD, 1, 8),
70                           5 => (7, MUL, 3, 21),
71                           6 => (-7, MUL, 3, -21),
72                           7 => (268435456, MUL, -2, -536870912),
73                           8 => (268435456, MUL, 2**5, 0), -- um fuenf nach links shiften
74                           9 => (268435456 + 5, MUL, 2**5, 160), -- = 5 * (2^5)
75                           others => (0, ADD, 0, 0)
76                         );
77
78         begin
79                 sys_res_n <= '0';
80                 wait for 50 ns;
81                 sys_res_n <= '1';
82
83                 for i in testmatrix'range loop
84                         wait for 100 ns;
85                         op1 <= to_signed(testmatrix(i).o1,CBITS);
86                         opcode <= testmatrix(i).o;
87                         op2 <= to_signed(testmatrix(i).o2,CBITS);
88
89                         -- berechnung kann los gehen
90                         do_calc <= '1';
91
92                         -- warten auf die alu einheit
93                         wait on calc_done;
94
95                         assert op3 = to_signed(testmatrix(i).expected,CBITS)
96                                 report "" & cinteger'image(testmatrix(i).o1) & 
97                                 " " & alu_ops'image(opcode) &
98                                 " " & cinteger'image(testmatrix(i).o2) &
99                                 "/= " & integer'image(to_integer(op3)) &
100                                 " -- erwartet: " & cinteger'image(testmatrix(i).expected);
101
102                         wait for 5 ns;
103                         -- ack it!
104                         do_calc <= '0';
105                 end loop;
106
107                 assert false
108                         report "alle testfaelle der ALU waren erfolgreich!";
109                 stop <= true;
110                 wait;
111         end process;
112 end architecture sim;