1b09c257c2d5793aa00f6519914042d2a97246b4
[hwmod.git] / spartan3e / Makefile
1 SHELL := bash
2
3 VHDL_DIR := ../src
4 PROJ_VHDL = alu.vhd \
5         textmode_vga/spartan3e_starterkit/textmode_vga_platform_dependent_pkg.vhd \
6         clk_vga_s3e.vhd \
7         calc_s3e.vhd \
8         display.vhd \
9         history.vhd \
10         math_pkg.vhd \
11         gen_pkg.vhd \
12         parser.vhd \
13         scanner.vhd \
14         sp_ram.vhd \
15         textmode_vga/console_sm.vhd \
16         textmode_vga/console_sm_beh.vhd \
17         textmode_vga/console_sm_sync.vhd \
18         textmode_vga/console_sm_sync_beh.vhd \
19         textmode_vga/font_pkg.vhd \
20         textmode_vga/font_rom.vhd \
21         textmode_vga/font_rom_beh.vhd \
22         textmode_vga/interval.vhd \
23         textmode_vga/interval_beh.vhd \
24         textmode_vga/textmode_vga.vhd \
25         textmode_vga/textmode_vga_component_pkg.vhd \
26         textmode_vga/textmode_vga_h_sm.vhd \
27         textmode_vga/textmode_vga_h_sm_beh.vhd \
28         textmode_vga/textmode_vga_pkg.vhd \
29         textmode_vga/textmode_vga_struct.vhd \
30         textmode_vga/textmode_vga_v_sm.vhd \
31         textmode_vga/textmode_vga_v_sm_beh.vhd \
32         textmode_vga/video_memory.vhd \
33         textmode_vga/video_memory_beh.vhd \
34         ps2/ps2_keyboard_controller.vhd \
35         ps2/ps2_keyboard_controller_beh.vhd \
36         ps2/ps2_keyboard_controller_pkg.vhd \
37         ps2/ps2_transceiver.vhd \
38         ps2/ps2_transceiver_beh.vhd \
39         ps2/ps2_transceiver_pkg.vhd
40
41 PROJ_VHDL := $(foreach n,$(PROJ_VHDL),$(VHDL_DIR)/$(n))
42
43 NAME := calc
44
45
46 all: generated/$(NAME).mcs
47
48 generated: 
49         rm -rf generated
50         mkdir generated
51
52 clean:
53         rm -rf *.o *.cf tb *.vcd $(NAME) $(SIM_TOP) *.ghw
54         rm -f *.bit *.bgn *_pad.txt *_pad.csv *.xpi *.srp *.ngc *.par
55         rm -f *.lst *.ngd *.ngm *.pcf *.mrp *.unroutes *.pad
56         rm -f *.bld *.ncd *.twr *.drc
57         rm -f *.map *.xrpt *.log *.twx *.xml *.ptwx
58         rm -rf xst $(NAME).prj
59         rm -rf generated/
60         rm -rf xlnx_auto_0_xdb
61
62 #Xilinx ISE actions. Uses a wrapper script named "xilinx" to run the ISE batch commands
63
64 # create an ISE project file from the list of VHDL files
65 $(NAME).prj: $(PROJ_VHDL)
66         echo $(PROJ_VHDL) |tr " " "\n">$(NAME).prj
67
68 bitfile: generated step0 step1 step2 step3 step4 step5
69
70 step0: $(NAME).prj 
71         xst -ifn ISE_scripts/$(NAME).scrs -ofn $(NAME).srp
72 step1:
73         ngdbuild -nt on -uc spartan3e.ucf $(NAME).ngc $(NAME).ngd
74 step2:
75         map -pr b $(NAME).ngd -o $(NAME).ncd $(NAME).pcf
76 step3:
77         par -w -ol high $(NAME).ncd $(NAME).ncd $(NAME).pcf
78 step4:
79         trce -v 10 -o $(NAME).twr $(NAME).ncd $(NAME).pcf
80 step5:
81         bitgen $(NAME).ncd generated/$(NAME).bit -w #-f $(NAME).ut
82
83 generated/$(NAME).bit: bitfile
84
85 mcs: generated/$(NAME).bit
86         impact -batch ISE_scripts/makeprom.cmds
87
88 generated/$(NAME).mcs: mcs
89
90 load: generated/$(NAME).mcs
91         impact -batch ISE_scripts/loadprom.cmds
92
93 impact:
94         impact
95
96 ise: $(NAME).prj
97         ise