init aus gzip
[dide_16.git] / bsp3 / Angabe / vga_control_arc.vhd
1 -------------------------------------------------------------------------------
2 -- Title      : vga_control architecture
3 -- Project    : LU Digital Design
4 -------------------------------------------------------------------------------
5 -- File       : vga_control.vhd
6 -- Author     : Thomas Handl
7 -- Company    : TU Wien
8 -- Created    : 2004-12-15
9 -- Last update: 2006-02-24
10 -------------------------------------------------------------------------------
11 -- Description: generation of colors (RGB)
12 -------------------------------------------------------------------------------
13 -- Copyright (c) 2004 TU Wien
14 -------------------------------------------------------------------------------
15 -- Revisions  :
16 -- Date        Version  Author  Description
17 -- 2004-12-15  1.0      handl   Created
18 -- 2006-02-24  2.0      ST      revised
19 -------------------------------------------------------------------------------
20
21 -------------------------------------------------------------------------------
22 -- LIBRARIES
23 -------------------------------------------------------------------------------
24
25 library IEEE;
26 use IEEE.std_logic_1164.all;
27 use IEEE.std_logic_unsigned.all;
28 use IEEE.std_logic_arith.all;
29
30 use work.vga_pak.all;
31
32 -------------------------------------------------------------------------------
33 -- ARCHITECTURE
34 -------------------------------------------------------------------------------
35
36 architecture behav of vga_control is
37
38
39   attribute syn_preserve          : boolean;
40   attribute syn_preserve of behav : architecture is true;
41
42
43
44 begin  
45     
46       r <= COLR_OFF;
47       g <= COLR_OFF;
48       b <= COLR_OFF;
49
50 end behav;
51
52 -------------------------------------------------------------------------------
53 -- END ARCHITECTURE
54 -------------------------------------------------------------------------------