Get rid of some unneeded function prototypes in romstage.c files.
[coreboot.git] / src / southbridge / intel / i82371eb / i82371eb.h
index 2fcad8d6ee28bccd00e28d30287f1ab6862490b3..709b8327a81851774f241e9f031bdda608689e7c 100644 (file)
@@ -36,6 +36,12 @@ void i82371eb_hard_reset(void);
 #endif
 #endif
 
+#if defined(__PRE_RAM__) && !defined(__ROMCC__)
+void enable_smbus(void);
+int smbus_read_byte(u8 device, u8 address);
+void enable_pm(void);
+#endif
+
 /* If 'cond' is true this macro sets the bit(s) specified by 'bits' in the
  * 'reg' variable, otherwise it clears those bits.
  *